欢迎来到三一文库! | 帮助中心 三一文库31doc.com 一个上传文档投稿赚钱的网站
三一文库
全部分类
  • 研究报告>
  • 工作总结>
  • 合同范本>
  • 心得体会>
  • 工作报告>
  • 党团相关>
  • 幼儿/小学教育>
  • 高等教育>
  • 经济/贸易/财会>
  • 建筑/环境>
  • 金融/证券>
  • 医学/心理学>
  • ImageVerifierCode 换一换
    首页 三一文库 > 资源分类 > DOCX文档下载  

    最新北京理工大学-eda实验1-QuartusII9.1软件的使用.docx

    • 资源ID:10202709       资源大小:842.88KB        全文页数:7页
    • 资源格式: DOCX        下载积分:4
    快捷下载 游客一键下载
    会员登录下载
    微信登录下载
    三方登录下载: 微信开放平台登录 QQ登录   微博登录  
    二维码
    微信扫一扫登录
    下载资源需要4
    邮箱/手机:
    温馨提示:
    用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP免费专享
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    最新北京理工大学-eda实验1-QuartusII9.1软件的使用.docx

    精品文档本科实验报告实验名称:数字系统设计与实验(软件部分)课程名称:QuartusII9.1软件的使用实验时间:任课教师:实验教师:学生姓名:/学号班级:学院:信息与电子学院专业:信息工程实验地点:4-342原理验证实验类型:综合设计自主创新组号:同组搭档:成绩:精品文档精品文档实验一QuartusII9.1软件的使用实验目的:一、通过实现书上的例子,掌握QUARTUSII9.1软件的使用;二、编程实现3-8译码电路以掌握VHDL组合逻辑的设计以及QUARTUSII9.1软件的使用。;实验流程:1、认真阅读数字系统自动化设计教程一书中,从120页到131页的操作指南;2、将124页的程序,输入到QUARTUSII9.1的文本编辑环境中去;(注意:程序第11行signalqout:intergerrange0to16;应改为signalqout:std_logic_vector(3downto0);程序第21行elseif(qout="1001")then应改为elsif(qout="1001")then3、验证最后仿真的得到的波形图是否和图5-31时序仿真的波形图是否一致;功能仿真设置:时序仿真设置:精品文档精品文档4、根据三-八译码器真值表完成VHDL程序的设计并仿真。输入输出D2D1D0Q7Q6Q5Q4Q3Q2Q1Q00000001000000000001100001111110011010101000001000010000100001000010000100000000000000000表13-8译码器真值表三-八译码器功能仿真注意事项:因为需要考察电路输入的所有状态,因此需要输入分别有000、001、010、011、100、101、110、111八个状态,在仿真通道文件中选择d界面旁边的赋值选项此时高亮显示表示可用,选中C,在弹出的对话框中进行设置。精品文档精品文档波形输入按钮关于7段译码器的说明:在实验1中使用了一个7段译码器,其由7个发光二极管构成,二极管的负极接到对应引脚,正极接高电平构成共阳极的7段数码管。afbgecd如下如所示:显示0时,a,b,c,d,e,f管脚接低电平,g管脚接高电平点亮的二极管会显示数字0。如图所示:精品文档精品文档VCCVCC5VCAU4ABCDEFG01234567实验结果:下面分别是功能仿真和时序仿真的结果精品文档精品文档3-8译码器主程序:libraryieee;useieee.std_logic_1164.all;entitycouunt10isport(en:ininstd_logica:instd_logic_vector(2downto0);q:outbit_vector(7downto0);endentitycount10;architecturebehaofcount10issignalsina_in:std_logic_vector(2downto0);signalsina_out:std_logic_vector(7downto0);beginsina_in<=aprocess(sina_in,en)beginif(en=0)thencasesina_iniswhen“000”=>sina_out<=”00000001”;when“001”=>sina_out<=”00000010”;when“010”=>sina_out<=”00000100”;when“011”=>sina_out<=”00001000”;when“100”=>sina_out<=”00010000”;when“101”=>sina_out<=”00100000”;when“110”=>sina_out<=”01000000”;when“111”=>sina_out<=”10000000”;whenothers=>sina_out<=”11111111”;endcaseelsesian_out<=”ZZZZZZZZ”;endif;q<=sian_outendprocess;endbeha;3-8译码器仿真结果:功能仿真精品文档精品文档精品文档

    注意事项

    本文(最新北京理工大学-eda实验1-QuartusII9.1软件的使用.docx)为本站会员(啊飒飒)主动上传,三一文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三一文库(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    经营许可证编号:宁ICP备18001539号-1

    三一文库
    收起
    展开