欢迎来到三一文库! | 帮助中心 三一文库31doc.com 一个上传文档投稿赚钱的网站
三一文库
全部分类
  • 研究报告>
  • 工作总结>
  • 合同范本>
  • 心得体会>
  • 工作报告>
  • 党团相关>
  • 幼儿/小学教育>
  • 高等教育>
  • 经济/贸易/财会>
  • 建筑/环境>
  • 金融/证券>
  • 医学/心理学>
  • ImageVerifierCode 换一换
    首页 三一文库 > 资源分类 > DOC文档下载  

    毕业设计(论文)基于单片机的智能路灯控制系统设计.doc

    • 资源ID:10238868       资源大小:791KB        全文页数:29页
    • 资源格式: DOC        下载积分:6
    快捷下载 游客一键下载
    会员登录下载
    微信登录下载
    三方登录下载: 微信开放平台登录 QQ登录   微博登录  
    二维码
    微信扫一扫登录
    下载资源需要6
    邮箱/手机:
    温馨提示:
    用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP免费专享
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    毕业设计(论文)基于单片机的智能路灯控制系统设计.doc

    河南科技学院河南科技学院 20142014 届本科毕业论文(设计)届本科毕业论文(设计) 设计题目设计题目: :基于单片机的智能路灯控制系统设计基于单片机的智能路灯控制系统设计 学生姓名:学生姓名: 所在院系:所在院系: 所学专业:所学专业: 导师姓名:导师姓名: 完成时间:完成时间: 2014-5-102014-5-10 基于单片机的智能路灯控制系统设计基于单片机的智能路灯控制系统设计 摘摘 要要 随着中国现代化节奏的不断加快,电子产品的大量应用也导致电力消费飞 速地增长。于此相对的另一个事实是电力资源已成为一种紧缺型资源。如何节 能降耗己成为近年来世界性研究的一个热点课题。 目前路灯已经成为一个城市的照明和夜景美化不可分割更无可替代的一部 分,而在路灯的高效节能方面,自动路灯控制系统的优劣举足轻重。 由于单片机具有集成度高,处理能力强,可靠性高,系统结构简单,价格低 廉的优点,因此在路灯照明工程中被广泛应用。本设计研究的基于单片机的智 能路灯控制系统正是针对我国在城市照明上所存在的巨大的能源消耗而开发出 的新型节能控制系统。 本文给出了智能路灯控制系统的架构和设计方案,详细分析了其工作原理 和以 AT89S51 为主控单元的各模块软硬件设计。 关键词:关键词:智能路灯,单片机,分时调压,光线调压 DESIGN OF STREET LAMP CONTROL SYSTEM BASED ON SINGLE CHIP MICROCOMPUTER ABSTRACT With the accelerating pace of Chinas modernization, a large number of applications for electronic products also led to rapid growth in electricity consumption. Another fact is that this relative power resources has become a scarce resource type. How to saving energy has become a hot topic in recent years, worldwide research. Currently street lighting has become part of a city and the beautification lighting and night indivisible more irreplaceable. In terms of energy-efficient lights, automatic street light control system merits of pivotal. Due to the microcontroller with high integration, processing capacity, high reliability, simple structure, low-cost advantages, so the street lighting project has been widely used. The study design microcontroller-based intelligent street light control system in our country is on the existence of a huge city lighting energy consumption and the development of new energy-saving control system. This paper presents the architecture and design of intelligent street light control system, a detailed analysis of its working principle and to AT89S51 as the main control unit hardware and software design of each module. Keywords: intelligent street lighting, SCM, timeshare regulator,light regulator 目目 录录 1 绪论.1 1.1 设计背景.1 1.2 设计的意义.1 1.3 国内外现状分析.1 1.4 论文的主要内容.2 2 PROTEUS仿真软件与 KEIL.2 2.1 PROTEUS仿真软件.2 2.2 KEIL.2 3 硬件设计.3 3.1 按键模块.3 3.2 计时模块.3 3.2.1 计时模块的软件设计.3 3.2.2 计时模块的硬件设计.5 3.3 光线强弱检测模块.5 3.3.1 ADC0832 综述.5 3.3.2 光敏电阻的介绍.7 3.3.3 光线强弱检测模块的硬件电路设计.8 3.4 路灯控制模块.9 3.4.1 继电器的概述.9 3.4.2 PNP 型三极管的概述.9 3.4.3 路灯控制模块的硬件电路设计.10 4 软件设计.11 4.1 程序模块分类及功能.11 4.2 程序流程图.11 5 软件测试.13 5.1 测试目的.13 5.2 测试方法.13 5.2.1 功能测试:.13 5.2.2 测试结果.13 6 结论.14 致 谢.15 参考文献.17 附录 1:电路原理图.18 附录 2:重要源代码模块.19 1 1 绪论绪论 本文研究的智能路灯节能控制系统是通过配套的功率变换组件,可在路灯 的启停和运行中,有效的调节路灯的端电压,控制路灯的照明亮度,从而改变 了路灯在不同时段的耗电量,改善了功率因素,到了节约电能的目的。 1.11.1 设计背景设计背景 “十二五”时期,我国仍将处于工业化和城镇化快速发展阶段,面临的资 源和环境形势依然严峻。能源问题已经成为制约经济和社会发展的重要因素, 应当从战略和全局的高度,充分认识做好能源工作的重要性和紧迫性,高度重 视能源安全,实现能源的可持续发展。在北京东西部能源技术研究院的报道中 有这么一篇中提到节能是缓解能源约束,减轻环境压力,保障经济安全,实现 全面建设小康社会目标和可持续发展的必然选择,体现了科学发展观的本质要 求,是一项长期的战略任务,必将摆在更加突出的战略位置。城市亮化作为形 象工程的重要组成部分,越来越被政府所重视,大量的资金投入进行建设和改 造,使得我们的城市夜晚变得灯火辉煌,绚丽多彩,但问题也随之而来,能耗 的逐年攀升,由此产生的某些问题亦逐渐显露出来。这些问题的产生无疑给当 地的路灯管理部门的各方面工作带来很大的压力,急切加以解决。尤其是在当 前环境条件每况愈下的形势下,低碳、节能、环保越来越收到人们的重视。旧 式的控制系统存在功耗大,公共资源得不到充分应用,效率低等消极影响。伴 随着路灯数量的急剧增加,照明系统中所存在的问题也日益突出,耗电量大就 是其中最为突出的一个。 所以,对城市的路灯的设计已经成为了当务之急。 1.21.2 设计的意义设计的意义 路灯是我国经济发展和国家建设中必需的用电设备,它在我国的整体用电 量中所占比例巨大,如果通过节能装置对其进行有效控制,就能够降低电力损 耗,达到节约能源,降低生产安装成本,有助于我国经济的快速发展。 1.31.3 国内外现状分析国内外现状分析 目前,路灯照明广泛采用高压钠灯,其设计寿命在12000小时以上,在正常 情况下至少可用3年,但是由于超压使用,现在路灯的使用寿命仅仅只有1年左 右,有的甚至只有几个月,造成维护和材料的极大浪费。较高的电压不仅不能 让负载设备更好的工作,而且还会造成发热及过早损坏,还会造成不必要的电 费开支。 而且,我国绝大多数地区的路灯关开灯都是采用人工控制或者定时 控制,这样也有许多不利之处:若采用人工控制,则路灯开关存在着一定的不 确定性,同时也占用了一定的人力资源。定时控制则存在着夏冬季白黑昼时间 不同的情况,使得天还没黑路灯就开,天还没亮路灯就灭的情况,大大影响了 人们的日常出生活。本设计通过使用AT89C51单片机对系统进行智能控制,使系 统达到自动启停及智能调压。近年来,随着科技的不断发展,各种路灯控制器 也被不断的研究出来。 1.1.4 4 论文的主要内容论文的主要内容 本设计可以通过对外界光线和电压信号的采集来控制路灯的自动启停以及智 能调压从而减少城市路灯照明耗电量,又对输入电压进行稳压调节来提高用电 效率。 主要内容如下: (1) 利用单片机,对整个路灯系统进行研究与设计。 (2) 针对光线和电压信号的采集,采用数据采集技术。 (3) 通过按键可对相关的参数值进行设置,从而实现对不同时间进行不同 的开灯模式。 (4) 当电压符合额定电压时,系统自动进行稳压。 (5) 在午夜之后降低电压以调节路灯亮度,实现调压。 2 2 ProteusProteus 仿真软件与仿真软件与 KeilKeil 2.12.1 ProteusProteus仿真软件仿真软件 Proteus 软件是英国 Lab Center Electronics 公司出版的 EDA 工具软件 (该软件中国总代理为广州风标电子技术有限公司) 。它不仅具有其它 EDA 工具 软件的仿真功能,还能仿真单片机及外围器件。它是目前比较好的仿真单片机 及外围器件的工具。虽然目前国内推广刚起步,但已受到单片机爱好者、从事 单片机教学的教师以及致力于单片机开发应用的科技工作者的青睐。 Proteus 从原理图布图、代码调试到单片机与外围电路协同仿真都能方便 的实现,真正实现了从概念到产品的完整设计。是目前世界上唯一将电路仿真 软件、PCB 设计软件和虚拟模型仿真软件三合一的设计平台,其处理器模型支 持 8051、HC11、PIC10/12/16/18/24/30/DsPIC33、AVR、ARM、8086 和 MSP430 等,2010 年又增加了 Cortex 和 DSP 系列处理器,并持续增加其他系列处理器 模型。在编译方面,它也支持 IAR、Keil 和 MATLAB 等多种编译器。 2.22.2 KeilKeil Keil C51 是美国 Keil Software 公司出品的 51 系列兼容单片机 C 语言软 件开发系统,与汇编相比,C 语言在功能上、结构性、可读性、可维护性上有 明显的优势,因而易学易用。Keil 提供了包括 C 编译器、宏汇编、连接器、库 管理和一个功能强大的仿真调试器等在内的完整开发方案,通过一个集成开发 环境(uVision)将这些部分组合在一起。运行 Keil 软件需要 WIN98、NT、WIN2000、WINXP 等操作系统。 3 3 硬件设计硬件设计 3.13.1 按键模块按键模块 键盘模块的硬件电路设计如图 3-1 所示。K1、K2、K3、K4 分别同 P3.0、P3.1、P3.2、P3.3 相连,当 S2、S3、S4、S5 任意一个键按下时,同它 相连的端口电压则被拉低。在此设计中,若在时间调整模式下 S2 设置为“加 1”功能键,S3 设置为“减 1”功能键;若在手动操作模式下 S2 设置为“开” 功能键,S3 设置为“关”功能键。S4 为功能切换键,实现运行模式、时间调整 模式和退出模式的切换。S5 为运行模式下实现“自动” 、 “手动”的切换。 图图 3-13-1 键盘电路键盘电路 3.23.2 计时模块计时模块 3.2.1 计时模块的软件设计 DS1302 是 DALLAS 公司推出的涓流充电时钟芯片,内含有一个实时时钟/日 历和 31 字节静态 RAM 通过简单的串行接口与单片机进行通信。实时时钟/日历 电路提供秒、分、时、日、星期、月、年的信息,每月的天数和闰年的天数可 自动调整,时钟操作可通过 AM/PM 指示决定采用 24 或 12 小时格式。DS1302 与单片机之间能简单地采用同步串行的方式进行通信,仅需用到三个口线:RES 复位 、I/O 数据线和 SCLK 串行时钟。时钟/RAM 的读/写数据以一个字节或多 达 31 个字节的字符组方式通信。DS1302 工作时功耗很低,保持数据和时钟信 息时功率小于 1mW,其外部管脚如图 3-2 所示。 图图 3-23-2 DS1302DS1302 外部管脚外部管脚 DS1302 是由 DS1202 改进而来增加了以下的特性:双电源管脚用于主电源 和备份电源,供应 Vcc1 为可编程涓流充电电源;附加七个字节存储器,它广泛 应用于电话、传真、便携式仪器以及电池供电的仪器仪表等产品领域;实时时 钟具有能计算 2100 年之前的秒、分、时、日、日期、星期、月、年的能力,还 有闰年调整的能力。DS1302 内部结构为移位寄存器,控制逻辑,晶振,时钟和 RAM。 具体控制字介绍: 控制字的最高有效位(位 7)必须是逻辑 1,如果它为 0,则不能把数 据写入到 DS1302 中; 位 6:如果为 0,则表示存取日历时钟数据,为 1 表示存取 RAM 数据; 位 5 至位 1(A4A0):指示操作单元的地址; 位 0(最低有效位):如为 0,表示要进行写操作,为 1 表示进行读操 作。 控制字总是从最低位开始输出,在控制字指令输入后的下一个 SCLK 时钟的 上升沿时,数据被写入 DS1302,数据输入从最低位(0 位)开始。同样,在紧 跟 8 位的控制字指令后的下一个 SCLK 脉冲的下降沿,读出 DS1302 的数据,读 出的数据也是从最低位到最高位。 具体时序图如图 3-3 所示。 图图 3-33-3 DS1302DS1302 时序图时序图 3.2.2 计时模块的硬件设计 具体电路如下图 3-4 所示。BT1 为电压值 3V 的电池组,来作为 DS1302 的 备用电源。Y2 为一个 32.768 KHz 的晶振。DS1302 的 5、6、7 端分别同单片机 的 P2.1、P2.2、P2.3 相连,同时在还为它们配置了阻值为 10K 的上拉电阻,能 最大程度的保证操作与通讯的准确和稳定。 图图 3-43-4 实时时钟模块电路实时时钟模块电路 3.33.3 光线强弱检测模块光线强弱检测模块 3.3.1 ADC0832综述 ADC0832 为8位分辨率A/D转换芯片,其最高分辨可达256级,可以适应一般 的模拟量转换要求。其内部电源输入与参考电压的复用,使得芯片的模拟电压 输入在0-5V之间。芯片转换时间仅为32S,据有双数据输出可作为数据校验, 以减少数据误差,转换速度快且稳定性能强。独立的芯片使能输入,使多器件 挂接和处理器控制变的更加方便。通过DI 数据输入端,可以轻易的实现通道功 能的选择。ADC0832引脚分布如图3-5所示。 图图3-53-5 ADC0832ADC0832引脚分布图引脚分布图 ADC0832有以下特点: 8位分辨率; 逐次逼近式A/D转换器; 双通道A/D转换; 输入输出电平与TTL/CMOS相兼容; 5V电源供电时输入电压在05V之间; 工作频率为250KHZ,转换时间为32S; 一般功耗仅为15mW; 8P、14PDIP(双列直插) 、PICC 多种封装。 芯片接口说明: CS片选使能,低电平芯片使能; CH0模拟输入通道0,或作为IN+/-使用; CH1模拟输入通道1,或作为IN+/-使用; GND芯片参考0电位(地) ; DI数据信号输入,选择通道控制; DO数据信号输出,转换数据输出; CLK芯片时钟输入; VCC/REF电源输入及参考电压输入(复用) 。 单片机对ADC0832的控制原理: 正常情况下ADC0832与单片机的接口应为4条数据线,分别是 CS、CLK、DO、DI。但由于DO端与DI端在通信时并未同时有效并与单片机的接口 是双向的,所以电路设计时可以将DO和DI 并联在一根数据线上使用。当 ADC0832未工作时其CS输入端应为高电平,此时芯片禁用,CLK和DO/DI的电平可 任意。当要进行A/D转换时,须先将CS使能端置于低电平并且保持低电平直到转 换完全结束。此时芯片开始转换工作,同时由处理器向芯片时钟输入端CLK输入 时钟脉冲,DO/DI端则使用DI端输入通道功能选择的数据信号。在第1个时钟脉 冲的下沉之前DI端必须是高电平,表示启始信号。在第2、3个脉冲下沉之前DI 端应输入2位数据用于选择通道功能,其时序图如图3-6所示。 图图3-63-6 ADC0832ADC0832操作时序图操作时序图 3.3.2 光敏电阻的介绍 (1)光敏电阻介绍 光敏电阻(photocell,注意:光电池是 photovoltaic cell)又称光敏电 阻器(photoresistor or light-dependent resistor,后者缩写为 ldr)或光 导管(photoconductor) ,常用的制作材料为硫化镉,另外还有硒、硫化铝、硫 化铅和硫化铋等材料。这些制作材料具有在特定波长的光照射下,其阻值迅速 减小的特性。这是由于光照产生的载流子都参与导电,在外加电场的作用下作 漂移运动,电子奔向电源的正极,空穴奔向电源的负极,从而使光敏电阻器的 阻值迅速下降。 (2)基本特性及其土要参数 1暗电阻、亮电阻 暗电流、暗电阻。光敏电阻在一定的外加电压下,当没有光照射的时候, 流过的电流称为暗电流。外加电压与暗电流之比称为暗电阻,常用“0LX”表示。 2伏安特性 伏安特性曲线用来描述光敏电阻的外加电压与光电流的关系,对于光敏器 件来说,其光电流随外加电压的增大而增大。其变化特征如图 3-7 所示。 图图3-73-7 a a 光敏电阻的伏安特性光敏电阻的伏安特性 b b 光敏电阻的光电特性图光敏电阻的光电特性图 c c 光敏电阻的光谱特性光敏电阻的光谱特性 (3)光电特性 光敏电阻器在一定的外加电压下,当有光照射时,流过的电流称为光电流, 外加电压与光电流之比称为亮电阻,常用“100LX”表示。 3.3.3 光线强弱检测模块的硬件电路设计 在此设计中我们使用光敏电阻和 ADC0832 模数转换器相结合的方式来实现 对环境明暗程度的检测。所选光敏电阻 GMR1 的亮电阻阻值在 2K 至 10K 之间变 化,所以选择阻值为 10K 的电阻 R8 同光敏电阻串联连接形成分压电路。 环境明暗程度的检测的原理如下:当照射在光敏电阻上的光线亮度发生变 化时,光敏电阻的阻值也随之相应的发生变化,其变化趋势为光线变强阻值减 小,反之也成立,而 ADC0832 的通道 0 得到的电压值随光线的变强而减小, ADC0832 将得到的电压信号转换成数字信号,并通过特定的操作送给单片机进 行处理。如图图 3-103-10 环境明暗检测模块电路环境明暗检测模块电路 次便现对环境明暗程度信号的向模拟信号转换,最终向数字信号的转换,也就 使系统能对环境明暗程度信号间接的进行相应的分析并处理。具体电路如图 3- 10 所示。 关于模数转换器的选择: 通常使用的模数转换器是 ADC0809,它是带有 8 位 A/D 转换器、8 路多路开 关以及微处理机兼容的控制逻辑的 CMOS 逐次逼近式 A/D 转换器。另需接外部时 钟才能正常工作,8 路通道只取其一也造成了资源的浪费。同单片机通讯时使 用的是并行的方式,这样也对单片机的端口占用很大。另外其体积也较大,价 格也较 ADC0832 昂贵,这样也变相的增加的印制板电路制作和元器件采购的成 本。综合考虑下,ADC0832 的使用最合适。 3.43.4 路灯控制模块路灯控制模块 3.4.1 继电器的概述 继电器(英文名称:relay)是一种电控制器件,是当输入量(激励量)的 变化达到规定要求时,在电气输出电路中使被控量发生预定的阶跃变化的一种 电器。它具有控制系统(又称输入回路)和被控制系统(又称输出回路)之间 的互动关系。通常应用于自动化的控制电路中,它实际上是用小电流去控制大 电流运作的一种“自动开关” 。故在电路中起着自动调节、安全保护、转换电路 等作用。 3.4.2 PNP型三极管的概述 9015 是一种常用的普通三极管。它是一种小电压,小电流,小信号的 PNP 型硅三 极管。其物理结构如下图 3-11 所示。其中引脚 1 为发射极,引脚 2 为基极,引脚 3 为集电极。 图图3-113-11 90159015实物图实物图 (1)特性 集电极-发射极电压-45V 集电极-基电压-50V 射极-基极电压-5V 集电极电流 0.1A 耗散功率 0.45W 结温 150 特征频率平均 300MHZ 放大倍数:A60150、B100300、C200600、D4001000 (2)主要用途 分开关应用和射频放大两大类。在此设计中主要应用于开关应用,选用 的 C 型号的 9015。 3.4.3 路灯控制模块的硬件电路设计 图图 3-123-12 路灯控制模块电路路灯控制模块电路 如上图 3-12 所示,Q1 为 PNP 性三极管 9015;U2 为继电器,型号为 JQC- 3F;H5 为接线座;D2 为续流二极管,型号为 IN4001;D3 为发光二极管。 设计思路: 9015的基极通过阻值为4.7K的电阻与AT89S52的P1.0相连。当该位置1 , 也即为5V高电平时,发射极1同基极2之间没有电压差,此时9015处于断开状态。 如此集电极没有电流通过,所以下面的继电器线圈和发光二极管D3不工作。当 该位被拉至0 ,也即0V低电平时,发射极1同基极2之间有电压值大约4.3V的 电压差降,通过4.7K的电阻限流后,通过P1.0口的灌电流就很小,此电流也为 基极电流。基极电流通过9015的放大后,是9015工作在饱和状态,集电极有足 够大的电流可以驱动继电器的线圈工作,使U2的1和3引脚构成的常开触点闭合, 1和2引脚构成的常闭触点断开。同时因为9015工作在饱和状态,发射极和集电 极的电压降大约0.2V,集电极的电压约为4.8V,因此发光二极管串联一阻值为 1K的电阻限流,使通过其电流为5mA左右而点亮。继电器中的线圈在关断的瞬间 由于电磁感应现象的存在,会产生比原电压值大多倍的反向电压,如果没有续 流二极管D2让关断瞬间保持原电流方向的电流构成回路,以消耗线圈中存储的 电能,将会反向击穿9015从而可能造成器件损毁。这是不被允许的,所以加一 耐压大于5V(IN4001耐压值为1000V)的续流二极管即可避免此类情况的发生, 这样可以保证所设计系统安全稳定的运行。 4 4 软件设计软件设计 本系统软件设计采用了目前广泛使用的MCS-51单片机高级语言keil-C51作 为软件开发工具,它表达能力强、可移植性好、便于进行结构化程序设计可以 直接操作计算机硬件,对速度要求不是很高的应用场合是一种十分理想的开发 工具。 4.14.1 程序模块分类及功能程序模块分类及功能 主程序部分: 主程序主要完成对系统资源的配置,各功能模块的调用以及外界信息的处 理。 (1)LCD1602操作程序部分: 显示时间信息,控制状态信息以及工作状态。 (2)ADC0832操作程序部分: 对光信号进行采集,转化为电信号进而交给系统进行处理。 (3)DS1302操作程序部分: 通过键盘操作可完成对时间,运行状态路灯工作状态的调整。 (4)定时中断程序部分: 对时间进行计数,进而改变标志位,系统可通过标志位的改变就行各种运 作。 (5)外部中断程序: 发生中断时,完成对某个标志字节改变,以便后续的操作通过判断标志字 节的状态来决定进行相应的动作。 4.24.2 程序流程图程序流程图 软件从某种程度上大体可分为主程序和中断服务程序两部分。主程序完成 对运行环境的初始化和以一定的逻辑及方式对各个模块的程序进行调用,同时 对某些系统硬件资源进行配置,从而达到预期的功能或目的。中断程序完成特 定的某个功能。 如图4-1,4-2所示,分别为外部中断0、定时中断0和主程序流程图。 S2、S3、S4和S5是功能按键,在调时状态下,S2为加1,S3为减1在手动模式下 S2为开灯,S3为关灯。其中S4为外部中断0入口,也为功能切换键,按一次flag 加1;flag为1时,每按一次S5循环切换手动和自动运行模式。flag为0时,退出 并确认参数和功能设定。 图图 4-14-1 a a 外部中断外部中断 0 0 子程序流程图子程序流程图 b b 定时器定时器 0 0 子程序流程子程序流程 主程序流程图如图 4-2 所示。 图图 4-24-2 主程序流程图主程序流程图 Flag 为 1 时,S5 切换运行模式液晶显示 Auto 或 Manu;flag 为 2 或 3,液 外部中断0入口 flag=10? 关外部中断0 开外部中断0 返回 flag=0 flag=flag+1 Yes No Yes No 定时中断0入口 装载初值 返回 count=0 a=1 count=count+1 count=100? 开始 初始化 flag=0? 自动运行模式 Yes falg1=0 channel<<=3; channel|=0 x01; CS=0; for(i=0;i=1; CLK=0; delay(2); CLK=1; for(i=0;i<8;i+) temp<<=1; CLK=0; _nop_(); if(DO=1) temp|=0 x01; else temp|=0 x00; _nop_(); CLK=1; CS=1; return temp; void wlcd_com(uchar com) /向 LCD1602 中写控制字 en=0; rs=0; rw=0; P0=com; delay(1); en=1; delay(1); en=0; void wlcd_data(uchar date)/向 LCD1602 中写入数据 en=0; rs=1; rw=0; P0=date; delay(1); en=1; delay(1); en=0; void bcdzhuanshi() /BCD 转十进制 uchar i; for(i=0;i<7;i+) shidatai+1=bcddatai%16+(bcddatai/16)*10; void shizhuanbcd() /十进制转 BCD uchar i; for(i=0;i<7;i+) bcddatai=shidatai+1%10+(shidatai+1/10)*16; void writebyte(uchar addr,uchar shuju) /DS1302 写一字节 uchar i; uint temp=0; temp=shuju; temp<<=8; temp=temp+addr; SCLK=0; RST=1; for(i=0;i=1; SCLK=1; SCLK=0; RST=0; IO=1; uchar readbyte(uchar addr) /DS1302 读一字节 uchar temp=0,i; temp=addr|0 x01; SCLK=0; RST=1; for(i=0;i=1; SCLK=1; SCLK=0; temp=0; for(i=0;i=1; if(IO) temp=temp|0 x80; else temp=temp|0 x00; SCLK=1; SCLK=0; RST=0; IO=1; return temp

    注意事项

    本文(毕业设计(论文)基于单片机的智能路灯控制系统设计.doc)为本站会员(土8路)主动上传,三一文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三一文库(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    经营许可证编号:宁ICP备18001539号-1

    三一文库
    收起
    展开