欢迎来到三一文库! | 帮助中心 三一文库31doc.com 一个上传文档投稿赚钱的网站
三一文库
全部分类
  • 研究报告>
  • 工作总结>
  • 合同范本>
  • 心得体会>
  • 工作报告>
  • 党团相关>
  • 幼儿/小学教育>
  • 高等教育>
  • 经济/贸易/财会>
  • 建筑/环境>
  • 金融/证券>
  • 医学/心理学>
  • ImageVerifierCode 换一换
    首页 三一文库 > 资源分类 > DOCX文档下载  

    EDA技术-VHDL-EDA技术-VHDL-3.3 8-3编码器a.docx

    • 资源ID:10903602       资源大小:171.34KB        全文页数:6页
    • 资源格式: DOCX        下载积分:4
    快捷下载 游客一键下载
    会员登录下载
    微信登录下载
    三方登录下载: 微信开放平台登录 QQ登录   微博登录  
    二维码
    微信扫一扫登录
    下载资源需要4
    邮箱/手机:
    温馨提示:
    用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP免费专享
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    EDA技术-VHDL-EDA技术-VHDL-3.3 8-3编码器a.docx

    n8-3 编码器程序设计与仿真实验1 实验目的(1) 熟悉软件的使用,了解使用 EDA 工具进行设计的全过程。(2) 学会用 VHDL 语言进行逻辑电路设计。2 实验原理8-3 编码器有八个输入信号,输出是(2 8, n=3)三位二进制代码。其编码表如表 3.3.1 所示。表 3.3.1 三位二进制编码器的编码表输入Y2输 出Y1Y0I0I1I2I3I4I5I6I7000011110011001101010101由编码表写出逻辑表达式如下:Y =I +I +I +I 2 4 5 6 7Y =I +I +I +I 1 2 3 6 7Y =I +I +I +I 0 1 3 5 73 实验内容(1) 用 VHDL 语言编写 8-3 编码器源程序。(2) 进行综合、优化及功能仿真。4 实验预习与思考(1) 熟悉 8-3 编码器的工作原理。(3) 如何在波形测试台窗口编辑输入信号。(4) 在结构体中有几类功能描述语句,各起什么作用。 5 VHDL 仿真实验(1) 为此工程新建一个文件夹。双击图标,启动 Quartus软件工作平台。新建工程设计文件名为 encoder8_3.vhd。在新建的VHDL 模型窗口下编写的源程序如下:library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity encoder8_3 is -实体说明Port ( reset : in std_logic;input : in std_logic_vector(7 downto 0); output : out std_logic_vector(2 downto 0);76end encoder8_3;architecture Behavioral of encoder8_3 is -结构体beginprocess(reset,input) -进程beginif ( reset = 1) thenoutput <= "000"elsecase input iswhen "00000001" => output <= "000"when "00000010" => output <= "001"when "00000100" => output <= "010"when "00001000" => output <= "011"when "00010000" => output <= "100"when "00100000" => output <= "101"when "01000000" => output <= "110"when "10000000" => output <= "111"when others=> output<="000"end case;end if;end process;end Behavioral;(2) 创建工程及全程编译完成源代码输入后即可创建工程。然后进行全程编译,检查设计程序是否正确(方法参照2.1 节基本 设计流程)。单击工具栏 ToolsNetlist Viewers 在出现的下拉菜单中有四个选项,选择第一项 RTL Viewer, 即 HDL 的 RTL 级图形观测器,选好后将自动弹出如图 3.3.1 所示 RTL 电路。77图 3.3.1 8-3 编码器 RTL 电路(3)仿真请参照 2.1.5 节建立波形编辑文件进行功能仿真,仿真结果如图 3.3.2 所示,在图中可以看到,例如 在输入信号为 00100000 时,对应的输出为 101,实现了 8-3 编码器的基本功能。其他的结果也可以通过同 样的方法进行分析。图 3.3.2 8-3 编码器仿真结果6 实验报告(1) 用 VHDL 语言编写 8-3 编码器源程序。(2) 对 8-3 编码器的逻辑功能进行仿真并分析仿真结果。7 实验练习题(1)设计一个带使能控制端的 16-4 编码器,并参照本次实验中的实验步骤完成 16-4 编码器的编译、 仿真。78

    注意事项

    本文(EDA技术-VHDL-EDA技术-VHDL-3.3 8-3编码器a.docx)为本站会员(啊飒飒)主动上传,三一文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三一文库(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    经营许可证编号:宁ICP备18001539号-1

    三一文库
    收起
    展开