欢迎来到三一文库! | 帮助中心 三一文库31doc.com 一个上传文档投稿赚钱的网站
三一文库
全部分类
  • 研究报告>
  • 工作总结>
  • 合同范本>
  • 心得体会>
  • 工作报告>
  • 党团相关>
  • 幼儿/小学教育>
  • 高等教育>
  • 经济/贸易/财会>
  • 建筑/环境>
  • 金融/证券>
  • 医学/心理学>
  • ImageVerifierCode 换一换
    首页 三一文库 > 资源分类 > DOCX文档下载  

    冰箱温度智能控制系统的设计.docx

    • 资源ID:12913920       资源大小:181.36KB        全文页数:35页
    • 资源格式: DOCX        下载积分:6
    快捷下载 游客一键下载
    会员登录下载
    微信登录下载
    三方登录下载: 微信开放平台登录 QQ登录   微博登录  
    二维码
    微信扫一扫登录
    下载资源需要6
    邮箱/手机:
    温馨提示:
    用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP免费专享
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    冰箱温度智能控制系统的设计.docx

    冰箱温度智能控制系统的设计摘要:近年来随着计算机在社会领域的渗透,单片机的应用正在不断地走向深入, 同时带动传统控制检测日新月益更新。 在实时检测和自动控制的单片机应用系统 中,单片机往往是作为一个核心部件来使用, 仅单片机方面知识是不够的,还应 根据具体硬件结构,以及针对具体应用对象特点的软件结合,以作完善。电冰箱温度控制系统是利用温度传感器 DS18B20采集电冰箱冷藏室和冷冻 室的温度,通过INTEL公司的高效微控制器 MCS-C51单片机进行数字信号处理, 从而达到智能控制的目的。本系统可实现电冰箱冷藏室和冷冻室的温度设置、电冰箱自动除霜、开门报警等功能。本文在第一章介绍了电冰箱的系统组成及工作原理,第二章论述了本控制系统的硬件设计部分。第三章论述了系统的软件设计部分。通过对直冷式电冰箱制冷系统的改进和采用模糊控制技术, 实现了电冰箱的 双温双控,使电冰箱能根据使用条件的变化迅速合理地调节制冷量, 且节能效果 良好。关键词:单片机;温度传感器;电冰箱;温度控制19第一章 概论 3一. 电冰箱的系统组成 3二. 工作原理: 5三. 本系统采用单片机控制的电冰箱主要功能及要求: 5第二章硬件部分 6一. 系统结构图 6二. 微处理器(单片机) 6三. 温度传感器 11四. 电压检测装置 15五. 功能按键 15六. 压缩机,风机、电磁阀控制 16七. 故障报警电路 16第三章软件部分 17一. 主程序:MAIN 17二. 初始化子程序:INTI1 21三. 键盘扫描子程序:KEY. 22四. 打开压缩机子程序:OPEN 25五. 关闭压缩机:CLOSE 26六. 定时器0中断程序:用丁压缩机延时 27七. 延时子程序 28第四章分析与结论 29参考文献: 29电冰箱温度测控系统设计第一章概论随着集成电路技术的发展,单片微型计算机的功能也不断增强, 许多高 性能的新型机种不断涌现出来。 单片机以其功能强、体积小、可靠性高、造价低 和开发周期短等优点,称为自动化和各个测控领域中广泛应用的器件, 在工业生 产中称为必不可少的器件,尤其在日常生活中发挥的作用也越来越大。 人们对家 用电冰箱的控制功能越来越高,这对电冰箱控制器提出了更高的要求。多功能, 智能化是其发展方向之一,传统的机器控制,简单的电子控制已经难以满足发展 的要求。而采用基丁单片机温度控制系统,不仅可大大缩短设计新产品的时间, 同时只要增加少许外围器件在软件设计方面就能实现功能的扩展,以及智能化方面的提高,因此可最大限度地节约成本。本文即为基丁单片机的电冰箱温度控制 系统。目前市场销售的双门直冷式电冰箱, 含有冷冻室和冷藏室,冷冻室通常用丁 冷冻的温度为-6-18C;冷藏室用丁在相对冷冻室较高的温度下存放食品,要 求有一定的保鲜作用,不能冻伤食品,室温一般为010C .传统的电冰箱温度一般是由冷藏室控制,冷藏室、冷冻室的不同温度是通过调节蒸发器在两室的面积大小来实现的,温度调节完全依靠压缩机的开停来控制 但是冰箱内的温度受诸多因素的影响, 如放入冰箱物品初始温度的高低、 存放品 的散热特性及热容量、物品在冰箱的充满率、环境温度的高低、开门的频繁程度 等.因此对这种受控参数及随机因素很多的温度控制,既难以建立一个标准的数 学模型,也无法用传统的PID调节来实现.一台品质优良的电冰箱应该具有较高 的温度控制精度,同时乂有最优的节能效果,而为了达到这一设计要求采用模糊 控制技术无疑是最佳的选择.一. 电冰箱的系统组成液体由液态变为气态时,会吸收很多热量,简称为“液体汽化吸热”,电冰 箱就是利用了液体汽化的过程中需要吸热的原理来制冷的。蒸气压缩式电冰箱制冷系统原理图如图 1-1所示,主要由压缩机、冷凝器、 干燥过滤器、毛细管、蒸发器等部件组成,其动力均来自压缩机,干燥过滤器用 来过滤赃物和干燥水分,毛细管用来节流降压,热交换器为冷凝器和蒸发器。制 冷压缩机吸入来自蒸发器的低温低压的气体制冷剂, 经压缩后成为高温高压的过 热蒸气,排入冷凝器中,向周围的空气散热成为高压过冷液体, 高压过冷液体经 干燥过滤器流入毛细管节流降压,成为低温低压液体状态,进入蒸发器中汽化, 吸收周围被冷却物品的热量,使温度降低到所需值,汽化后的气体制冷剂乂被压 缩机吸入,至此,完成一个循环。压缩机冷循环周而复始的运行, 保证了制冷过 程的连续性。1-地格箱体:乏一豪发器| 3压蝙机& 4-吩*器;5十谶过渔器;日一毛锢管一J高压气体 二rr味液体 与低压液体 二妣棒弋体,图1-1电冰箱制冷系统原理图直冷式电冰箱的控制原理是根据蒸发器的温度控制制冷压缩机的启、停,使冰箱内的温度保持在设定温度范围内。 冷冻室用丁冷冻食品通常用丁冷冻的温度 为3 °C-15 °C,冷藏室用丁相对丁冷冻室较高的温度下存放食品,要求有一 定的保鲜作用,不能冻伤食品, 温度一般为0'C10C,当测得冷冷冻室温度高 至3P 0P时或者是冷冻室温度高至10P13,C是启动压缩机制冷,当冷冻 室温度低丁- 15P-18P或都冷藏室温度低丁 0P-3,C时停止制冷,关断 压缩机。采用单片机控制,可以使控制更为准确、灵活。二. 工作原理:根据冷藏室和冷冻室的温度情况决定是否开压缩机,若冷藏室的温度过高, 则打开电磁冷门V1,关闭阀门V2, V3,同时打开压缩机,产生高温高压过热蒸气, 经过冷凝器冷凝,干燥过滤器干燥,毛细节流管降压后,在蒸发器汽化制冷, 产 生低温低压的干燥气体。经过电磁阀门V1流入冷藏室,使冷藏的温度迅速降低, 当温度达到要求时关闭压缩机,同时关闭电磁阀门V1。若是冷冻室的温度过高, 则应打开V2关闭V1, V3。电磁阀门V3主要用丁冷冻室的化霜。需要化箱时打 开V3,从压缩机流出的高温高压气体流经冷冻室可匀速将冷冻室霜层汽化。达到化霜的效果。一般化霜的时间要短,不然会伤存放的食品。三. 本系统采用单片机控制的电冰箱主要功能及要求:1、设定2个测温点,测量范围:26勾+ 26 C 精度±0.5“C;2、利用功能键分别控制温度设定、冷藏室及冷冻室温度设定等;3、制冷压缩机停机后自动延时3分钟后方能再启动;4、电冰箱具有自动除霜功能;5、开门延时超过20秒发声报警;6、工作电压为180240V,当欠压或过压时,禁止启动压缩机并用指示灯显 示。第二章硬件部分一. 系统结构图控制系统结构如图2-1所示,主要由电源开关,电压检测装置,温度传感器,功能按键,单片机,延时电路,显示电路,指示灯电路,除霜装置和故障报警装指小灯电路置等够成。温度传感器除希电路压娴机通断电延时电路单片机LED显小:故障报警装置AT89C51压缩机控制图2-1控制系统结构图二. 微处理器(单片机)微处理器是本系统的核心,其性能的好坏直接影响系统的稳定,鉴丁本系统为实 时控制系统,系统运行时需要进行大量的运算,所以单片机采用INTEL公司的高 效微控制器AT89C51 oAT89C51是一种带4K字节闪烁可编程可擦除只读存储器(FPEROMFalshProgrammable and Erasable Read Only Memory )的低电压,高性能 CMOS8微 处理器,俗称单片机。该器件采用ATME启密度非易失存储器制造技术制造,与 工业标准的MCS-51?指令集和输出管脚相兼容。由丁将多功能 8位CPUffi闪烁存储器组合在单个芯片中,ATMEIB AT89C51是一种高效微控制器,为很多嵌入 式控制系统提供了一种灵活性高且价廉的方案。P* 4 I1«VCGP 1 1 1I3«PO O IA00)pi 2 q3 PO. 1 4 ADI)Pi 1417j mPl 4 C5Itt=PO 3 1i*D3)PI %C3,P& 4PH « uT34q POi.ft lAEIKJPl cB33n po *RST r::1 0.7 4 ADT)q村 X。,P3. c :23tn e aj v*pP3 1 c :11.ALEi PnaaFS.l U122 V PSEHiniTTi 心 3 r13京npj t"jT:*z* 1*1*1(II) Pl S I::p PS 4iifiTR l P l 剧 M143S;叩.4 4A12II,nrcn ?匚IT24 *2 3 wnXTALl C23n pi 2 (A10)X1A4 172n P? 1 (A»>GMO匚3051;M Q u引RST/VPP C:VCC1?0fRXD) P3 0219-J PI T(TXD| P34 d3IBPIGXTAL2 :417Pi sXTAL1 516PL4(INTO> F3 2 :615;P1L3(INT»> P3 3 U7145 pi.2(TO) P3.4 二8饵p P1.1 (AIN1)(T»i P3.5 E02L pt.o wjGg d*011: P3 7AT89C51AT89C20511 .主要特性:与MCS-51兼容, 4K字节可编程闪烁存储器寿命:1000写/擦循环数据保留时间:10年全静态工作:0Hz-24Hz三级程序存储器锁定 128*8位内部RAM, 3 2可编程I/O线两个16位定时器/计数器-5个中断源-可编程申行通道-低功耗的闲置和掉电模式-片内振荡器和时钟电路2.管脚说明VCC:供电电压。GND :接地。P0 口: P0 口为一个8位漏级开路双向I/O 口,每脚可吸收8TTL门电流。当P1 口的管脚第一次写1时,被定义为高阻输入。P0能够用丁外部程序数据存储器, 它可以被定义为数据/地址的第八位。在FIASH编程时,P0 口作为原码输入口, 当FIASH进行校验时,P0输出原码,此时P0外部必须被拉高。P1 口: P1 口是一个内部提供上拉电阻的8位双向I/O 口,P1 口缓冲器能接收输 出4TTL门电流。P1 口管脚写入1后,被内部上拉为高,可用作输入,P1 口被外 部下拉为低电平时,将输出电流,这是由丁内部上拉的缘故。在FLASH®程和校 验时,P1 口作为第八位地址接收。P2 口: P2 口为一个内部上拉电阻的8位双向I/O 口,P2 口缓冲器可接收,输出 4个TTL门电流,当P2 口被写“1”时,其管脚被内部上拉电阻拉高,且作为输 入。并因此作为输入时,P2口的管脚被外部拉低,将输出电流。这是由丁内部 上拉的缘故。P2 口当用丁外部程序存储器或16位地址外部数据存储器进行存取 时,P2口输出地址的高八位。在给出地址“ 1”时,它利用内部上拉优势,当对 外部八位地址数据存储器进行读写时,P2 口输出其特殊功能寄存器的内容。P2 口在FLASH®程和校验时接收高八位地址信号和控制信号。P3 口: P3 口管脚是8个带内部上拉电阻的双向I/O 口,可接收输出4个TTL门 电流。当P3口写入“1”后,它们被内部上拉为高电平,并用作输入。作为输入, 由丁外部下拉为低电平,P3口将输出电流(ILL)这是由丁上拉的缘故。P3 口也可作为AT89C51的一些特殊功能口,如下表所示: 口管脚备选功能P3.0 RXD (申行输入口)P3.1 TXD (申行输出口)P3.2 /INT0 (外部中断0)P3.3 /INT1 (外部中断1)P3.4 T0 (记时器0外部输入)P3.5 T1 (记时器1外部输入)P3.6 /WR (外部数据存储器写选通)P3.7 /RD (外部数据存储器读选通)P3 口同时为闪烁编程和编程校验接收一些控制信号。RST复位输入。当振荡器复位器件时,要保持RSTW两个机器周期的高电平时间。ALE/PROG当访问外部存储器时,地址锁存允许的输出电平用丁锁存地址 的地位字节。在FLASH®程期间,此引脚用丁输入编程脉冲。在平时,ALE端以不变的频率周期输出正脉冲信号,此频率为振荡器频率的1/6。因此它可用作对外部输出的脉冲或用丁定时目的。然而要注意的是:每当用作外部数据存储器时, 将跳过一个ALE脉7中。如想禁止ALE的输出可在SFR8E削址上置0。此时,ALE 只有在执行MOVXMOV酯令是ALE才起作用。另外,该引脚被略微拉高。如果 微处理器在外部执行状态ALE禁止,置位无效。/PSEN外部程序存储器的选通信号。在由外部程序存储器取指期间,每个机 器周期两次/PSEN有效。但在访问外部数据存储器时,这两次有效的 /PSEN信号 将不出现。3. 振荡特性/EA/VPP :当/EA保持低电平时,则在此期间外部程序存储器 (0000H-FFFFH,不管是否有内部程序存储器。注意加密方式 1时,/EA将内部 锁定为RESET当/EA端保持高电平时,此间内部程序存储器。在 FLASH®程期 问,此引脚也用丁施加12V编程电源(VPPXTAL1:反向振荡放大器的输入及内部时钟工作电路的输入。XTAL2来自反向振荡器的输出。4. 芯片擦除:整个PERO晦列和三个锁定位的电擦除可通过正确的控制信号组合,并保持ALE管脚处丁低电平10ms来完成。在芯片擦操作中,代码阵列全被写“1”且在任何非空存储字节被重复编程以前,该操作必须被执行。此外,AT89C5假有稳态逻辑,可以在低到零频率的条件下静态逻辑,支持两种 软件可选的掉电模式。在闲置模式下,CP的止工作。但RAM定时器,计数器, 申口和中断系统仍在工作。在掉电模式下,保存RAM勺内容并且冻结振荡器,禁 止所用其他芯片功能,直到下一个硬件复位为止。5 .运算器(1) 算术/逻辑部件ALU用以完成+、-、*、/的算术运算及布尔代数的逻 辑运算,并通过运算结果影响程序状态寄存器 PSWW勺某些位,从而为判断、转移、 十进制修正和出错等提供依据。(2) 累加器A:在算术/逻辑运算中存放一个操作数或结果,在与外部存储 器和I/O接口打交道时,进行数据传送都要经过 A来完成。(3) 寄存器B:在*、/运算中要使用寄存器B。乘法时,B用来存放乘数 以及积的高字节;除法时,B用来存放除数及余数。不作乘除时,B可作通用寄 存器使用。(4) 程序状态标志寄存器PSW用来存放当前指令执行后操作结果的某些特 征,以便为下一条指令的执行提供依据。6.中断系统:8051单片机的中断系统简单实用,其基本特点是:有5个固定的可屏蔽中断源,3个在片内,2个在片外,它们在程序存储器中各有固定的中断入口地址, 由此进入中断服务程序;5个中断源有两级中断优先级,可形成中断嵌套;2个特殊功能寄存器用丁中断控制和条件设置的编程。5个中断源的符号、名称及产 生的条件如下:INT0:夕卜部中断0,由P3. 2端口线引入,低电平或下跳沿引起。INT1 :夕卜部中断1,由P3. 3端口线引入,低电平或下跳沿引起。T0:定时器/计数器0中断,由T0计满回零引起。T1:定时器/计数器l中断,由T1计满回零引起。TI / RI:申行I / O中断,申行端口完成一帧字符发送/接收后引起。三. 温度传感器在传统的模拟信号远距离温度测量系统中,需要很好的解决引线误差补偿问题、 多点测量切换误差问题和放大电路零点漂移误差问题等技术问题,才能够达到较高的测量精度。我们在为冰箱测温系统中,为了克服上面提到的三个问题,采用 了新型数字温度传感器 DS1820在对其测温原理进行详细分析的基础上,提出 了提高DS1820W量精度的方法,使DS1820勺测量精度由0.5 C提高到0.1 C以 上,取得了良好的测温效果。1 DS1820 简介DS182盅美国DALLAS导体公司生产的可组网数字式温度传感器,在其内部使 用了在板(ON-B0ARD专利技术。全部传感元件及转换电路集成在形如一只三极 管的集成电路内。与其它温度传感器相比,DS1820具有以下特性。(1)独特的单线接口方式,DS1820ft与微处理器连接时仅需要一条口线即可实 现微处理器与DS1820的双向通讯。2) DS1820支持多点组网功能,多个 DS1820可以并联在唯一的三线上,实现多 点测温。(3) DS1820ft使用中不需要任何外围元件。4)温范围55C+ 125C,固有测温分辨率0.5 C(5)测量结果以9位数字量方式申行传送DS1820内部结构框图如图1所示。PH 1。4甬1/.礼帔昭DS182CW温原理如图2所示。图中低温度系数晶振的振荡频率受温度 影响很小,用丁产生固定频率的脉冲信号送给计数器1。高温度系数晶振随温度变化其振荡率明显改变,所产生的信号作为计数器2的脉冲输入。计数器1和温 度寄存器被预置在-55C所对应的一个基数值。计数器1对低温度系数晶振产生 的脉冲信号进行减法计数,当计数器1的预置值减到0时,温度寄存器的值将加 1 ,计数器1的预置将重新被装入,计数器1重新开始对低温度系数晶振产生的 脉冲信号进行计数,如此循环直到计数器2计数到0时,停止温度寄存器值的累 加,此时温度寄存器中的数值即为所测温度。 图2中的斜率累加器用丁补偿和修 正测温过程中的非线性,其输出用丁修正计数器1的预置值。比较计数器1-厂 巨tk+温度寄导鼎LSD停止在正常测温情况下,DS1820勺测温分辩率为0.5 C以9位数据格式表示, 其中最低有效位(LSB由比较器进行0.25 C比较,当计数器1中的余值转化成 温度后低丁 0.25 C时,活除温度寄存器的最低位(LSB ,当计数器1中的余值 转化成温度后高丁 0.25 C,置位温度寄存器的最低位(LSB),如一25.5 C对应 的9位数据格式如下:2提高DS1820M温精度的途径2.1DS1820高精度测温的理论依据DS1820正常使用时的测温分辨率为 0.5 C ,这对丁水轮发电机组轴瓦温度监测来 讲略显不足,在对DS1820W温原理详细分析的基础上,我们采取直接读取DS1820 内部暂存寄存器的方法,将 DS1820的测温分辨率提高到0.1 C0.01 C.表1 DS1B2U暂存寄存器分布'督存器内容字节地址温度最低散字位0温度易高敦字位1高湛限值2低温限值34保留5H数剽奈值6鲜度汁数值7CRE校唾DS1820内部暂存寄存器的分布如表1所示,其中第7字节存放的是当温度 寄存器停止增值时计数器1的计数剩余值,第8字节存放的是每度所对应的计数 值,这样,我们就可以通过下面的方法获得高分辨率的温度测量结果。首先用 DS1820®供的读暂存寄存器指令(BEH)读出以0.5 C为分辨率的温度测量结果, 然后切去测量结果中的最低有效位(LSB),得到所测实际温度整数部分 T整数, 然后再用BEH旨令读取计数器1的计数剩余值M剩余和每度计数值M每度,考虑 到DS1820W量温度的整数部分以0.25 C、0.75 C为进位界限的关系,实际温度 T实际可用下式计算得到:T实际=(T整数0.25 C )+(M每度一M剩余)/M每度2.2测量数据比较表2为采用直接读取测温结果方法和采用计算方法得到的测温数据比较,通过比较可以看出,计算方法在 DS1820M温中不仅是可行的,也可以大大的提高 DS1820的测温分辨率。表2 DS 1820亘读测温结果与计算 测温馅果款据比较次数T121.00072利234.00042349,0()030Hl49.3 隔452.00066S4549耳5679 (KM)56U77% 106782.500lb£8I2.56B3 DS182O用中注意事项DS1820虽然具有测温系统简单、测温精度高、连接方便、占用口线少等优点,但在实际应用中也应注意以下几方面的问题:(1)较小的硬件开销需要相对复杂的软件进行补偿,由丁 DS182盅微处理器间采用申行数据传送,因此,在对 DS1820!行读写编程时,必须严格的保证读 写时序,否则将无法读取测温结果。在使用PL/M、C等高级语言进行系统程序设 计时,对DS1820S作部分最好采用汇编语言实现。 在DS1820的有关资料中均未提及单总线上所挂 DS182微量问题,容易 使人误认为可以挂任意多个 DS1820在实际应用中并非如此。当单总线上所挂 DS182眼过8个时,就需要解决微处理器的总线驱动问题,这一点在进行多点 测温系统设计时要加以注意。(3)连接DS1820的总线电缆是有长度限制的。试验中,当采用普通信号电缆 传输长度超过50m时,读取的测温数据将发生错误。当将总线电缆改为双绞线带 屏蔽电缆时,正常通讯距离可达150m,当采用每米绞合次数更多的双绞线带屏 蔽电缆时,正常通讯距离进一步加长。这种情况主要是由总线分布电容使信号波 形产生畸变造成的。因此,在用 DS1820®行长距离测温系统设计时要充分考虑 总线分布电容和阻抗匹配问题。 在DS1820W温程序设计中,向DS1820®出温度转换命令后,程序总要 等待DS1820的返回信号,一旦某个DS182O触不好或断线,当程序读该DS1820时,将没有返回信号,程序进入死循环。这一点在进行DS1820®件连接和软件设计时也要给予一定的重视。四. 电压检测装置电压检测装置是为了保护系统的稳定运行,采用WBI(列电压越限报警传感器W睦列电压越限报警传感器以电压隔离传感器为基础,增配比较器电路、基 准电压设定电路、输出驱动电路组成,用来隔离监测主回路中的交流或直流电压, 当被监测的电压超过预先设定的上限值,或低丁预先设定的下限值时,给出开关量控制信号。本系列产品测控一体化、体积小、精度高、使用方便,报警界限值可以由用 户根据需要随时进行调整,具有很高的性能/价格比。主要特点:1. 测控一体化,体积小、精度高、反应快;2. 具有瞬态干扰抑制功能,防止误动作;3. 报警界限值可在设定值(土 20%内连续可调;4. 密封式继电器触点输出,触点寿命30万次;5. 隔离电压:交流监测2.5kVDC,1分钟;直流监测1.5kVDC,1分钟6. 输入过载能力:10倍阈值,持续5秒;7. 额定环境温度:商业级 0+50C,工业级-25 C+70C;8. 平均无故障工作时间5万小时;9.20%回差设置,确保动作稳定;五. 功能按键因本系统使用的按键数目少,故按键采用硬件去抖。按键电路如图 2-6所 示。用两个与非门构成一个RS触发器。当按键未按下时输出为1;刚键按下时输 出为0。此时即使用按键的机器性能,使按键因弹性抖动而产生瞬时断开 (抖动 跳开B),只要按键不返回原来状态 A,双稳态电路的状态不会改变,输出保持为 0,不会产生抖动的波形。也就是说,即使B点的电压波形是抖动的,但经双稳态 电路之后,其输出为正规的矩形波。图2-6按键电路六. 压缩机,风机、电磁阀控制压缩机,风机工作原理是制冷系统内制冷剂的低压蒸汽被压缩机吸入并压缩为高压 蒸汽后排至冷凝器。同时轴流风扇吸入的室外空气流经冷凝器,带走制冷剂放出的热量,使高压制冷剂蒸汽凝结为高压液体。高压液体经过过滤器、节流机构后喷入蒸发器,并在相应的低压下蒸发,吸取周围的热量。同时贯流风扇使空气不断进入蒸发器的肋片间进行热交换, 并将放热后变冷的空气送向室内。如此室内空气不断循环流动,达到降低温度的目的。而冰箱没有风扇靠自然对流来进行热量交换。电磁阀的工作原理非常简单,阻流板就象一个闸门,一个弹簧让它处于关闭状态,上面一个电磁铁芯,铁芯(低部橡胶)压在阻流板中间(凸起)的一个小眼儿上,外面一个电磁线圈,接通电源后铁芯别吸上去,小眼儿开始进气,压力达到顶开弹簧后电磁阀打开。七. 故障报警电路报警电路主要用示电冰箱使用过程中出现的故障,包括系统自身故障,外界故障,和误操作,如:冰箱内温度太高,外界电压波动大,未关好冰箱门或是开 门时间太长等等。四个指示灯作用:L1:设置冷藏室温度时亮L2:设置冷冻室温度时亮L3:压缩机运行时亮L4:电源过压或欠压时亮第三章软件部分本系统软件主要由主流程、功能子程序、中断服务程序组成。采用主程序调 用功能子程序,子程序尽可能少的调用其它子程序, 以保证系统的稳定运行。本 系统温度在64P64对,用七位即可存放,因此温度值用一个字节存放 ,最高 位存放符号位。各温度值均用全程变量形式存放,如下:60H冷藏室温度设定值61H冷冻室温度设定值62H冰箱运行时冷藏室温度实际值63H冰箱运行时冷冻室温度实际值64H用丁存放压缩机,电源状态和压缩机关机延时状态值其中:最低0位COM有放压缩机状态标志:1压缩机开启0压缩机关闭第1位TIME_OU禹上次关闭压缩机是否已有 5S: 1否0是第2位UP电压过欠压标志:1过欠压0正常65H, 66H用丁存放化霜时间计数67H用丁压缩机关闭延时计数一、主程序:MAIN主程序由初始化,键盘扫描,显示,温度采集,温度控制和定时化霜子程序 组成,为系统软件的主干部分,化霜采用定时化霜,每三十分钟化霜一次,化霜 原理见概论电冰箱式作原理部分,其流程图如图 3-1所示:程序如下:ORG0000HAJMPMAINORG0003HLJMPDY_INTORG000BLJMPTIME0_INTORG0030HDATAEQUP1.0V1 EQU P1.3V2 EQU P1.4V3 EQU P1.5# Srrrh HOM 命 >DSi82jt>扇劫所荷在残金|鼻。 岫祸度A/II要换 表三W投叫若W 友 4 UN Mi; *ir 1&1J '_ 一厂 £.:二.* 二避, ixf 盍 200 n», 一 I| 百化 DS1(t20i茂 牌AKHRCi日 命 乡JI堂一个也糟20序齐号A RKAIKSCRaTCIIPAD 曲 >读西配的脚俱20度图3-1主程序流程图SET_KEY EQU P1.5V3 EQU P1.5V3 EQU P1.5SET_KEY EQU P1.5ADD_KEY EQU P1.6SUB_KEY EQU P1.7L1 EQU P0.6L2 EQU P0.7L3 EQU P2.5L4 EQU P2.623MAIN : CLR ASTART: LCALL INIT1LCALL KEYLCALL GETWDMOV 62H , R0INC DATALCALL GETWDMOV 63H , R0DEC DATAMOV R3,62HMOV R4,63HLCALL DISPMOV A , 60HCLR CHIGH: CJNE A , 62H , HIGH1AJMP HIGH2;初始化;键盘扫描;获得冷藏室温度;获得冷冻室温度;显示两室温度值;冷藏室温度等丁高丁设定值时HIGH1 : JC HIGH3HIGH2: SETB V1;开启压缩机LCALL OPENAJMP LOWHIGH3: MOV A , 61HCLR CCJNE A , 63H , HIGH4;冷冻室温度等丁高丁设定值时AJMPHIGH5HIGH4: JC LOWHIGH5: SETBV2;开启压缩机LCALLOPENLOW: MOVA , 61HCLRCCJNEA , 63H , LOW1;冷冻室温度等丁低于最低值时AJMPLOW2LOW1 : JNCLOW3LOW2 : CLRV2;关闭压缩机LCALLCLOSEAJMPLSLOW3 : MOVA , 60HCLRCCJNEA , 62H , LOW4;冷冻室温度等丁低于最低值时AJMPLOW5LOW4 : JNCLSLOW5 : CLRV1;关闭压缩机LCALLCLOSELS: MOV R1,#10H;延时1SLSI: LCALL DLY_100MSDJNZR1 , LS1INC65H;化霜时间计数加1MOVA , 65HCJNEA , #00H , LS2LS2: MOVA , 65HCJNEA , #08H , LOOPMOVA , 66HCJNEA , #07H , LOOPJB V1,LOOP;化霜定时时间到且 V1,V2均关闭JB V2,LOOPSETBV3;打开V3开始化霜MOVR0 , #50;化霜时间5 SLS3: LCALLDLY_100MSDJNZR0 , LS3LOOP: AJMPSTARTEND二、初始化子程序:INTI1初始化模块主要完成初始化I/O 口、中断、内存单元,并读出存放在闪烁存 储器上的温度设定值。温度设定值存放在闪烁存储器上即使断电也可保存。程序如下:INTI1 : CLR AMOV DPTR , #20HMOVC A , DPTRLCALL DLY_100MSMOV 60H , AINC DPTRMOVC A , DPTRLCALL DLY_100MSMOV 61H , AMOV 64H , #00HSETB EX0SETB IT0;读取冷藏室温度设定值;延时确保数据读完;读取冷藏室温度设定值;延时确保数据读完;活空各状态位;允许外部中断0中断;选择边沿触发方式SETB EA;CPLFF中断RET三、键盘扫描子程序:KEY扫描程序采用边延时边扫描的方法,当设置键SET_KEY$下一次,指示灯L1亮,按ADD(+滩和SUB(一)键设置冷藏室温度。当设置键 SET_KE骸下二次, 指示灯L2亮,L1灭,按ADD(+滩和SUB(一)键设置冷冻室温度。当设置键SET_KEY 按下三次,设置完成,指示灯L1, L2均灭。如果3S内无键按下,表示误按或用 户放弃设置。退出扫描。程序如下:KEY: CLR AMOV R0 , #00HSTART: MOV R4 , #1EHLOOP: LCALL DLY_100MSJNB SETB_KEY , SETJNB ADD-KEY , ADDJNB SUB_KEY , SUBDJNZ R4 , LOOP;边延时边扫描3S;3S内没有键按下结束扫描SET: CJNER0 , #03H , SET1;设置键按下三次,设置完成CLRL1CLRL2AJMPEXITSET1: INCR0CJNE R0 , #01H , SET2;设置键按下一次AJMP EXITSETB L1AJMP START25SET2: CLR L1;设置键按下二次SETB L2AJMP STARTADD : CJNE R0 , #01H , ADD1MOV A , 60HJB ACC.7 , ADD_1INC AMOV 60H , AAJMP DSPADD_1 : CLR ACC.7DEC ASETB ACC.7MOV 60H , AAJMP DSPADD1 : CJNE R0 , #02H , STARTMOV A , 61HJB ACC.7 , ADD1_1INC AMOV 61H , AAJMP DSPADD1_1 : CLR ACC.7DEC ASETB ACC.7MOV 61H , AAJMP DSP;加键按下;冷藏室温度为正时加1;冷藏室温度为负时加1;冷冻室温度为正时加1;冷冻室温度为负时加1减键按下SUB: CJNE R0 , #01H , SUB1;冷藏室温度为正时减1MOV A , 60HJB ACC.7 , SUN_1DEC AMOV 60H , AAJMP DSPSUB_1: CLR ACC.7INC ASETB ACC.7MOV 60H , AAJMP DSP;冷藏室温度为负时减1SUB1: CJNE R0 , #02H , STARTCLR ACC.7JB ACC.7 , SUB1_1DEC AMOV 61H , AAJMP DSPSUB1_1: CLR ACC.7INC ASETB ACC.7MOV 61H , AAJMP DSP;冷冻室温度为正时减1;冷冻室温度为负时减1MOV A , 63H27DSP: MOV DPTR , #20HMOV A , 62HMOVC DPTR , ALCALL DLY_100MSINC

    注意事项

    本文(冰箱温度智能控制系统的设计.docx)为本站会员(scccc)主动上传,三一文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三一文库(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    经营许可证编号:宁ICP备18001539号-1

    三一文库
    收起
    展开