欢迎来到三一文库! | 帮助中心 三一文库31doc.com 一个上传文档投稿赚钱的网站
三一文库
全部分类
  • 研究报告>
  • 工作总结>
  • 合同范本>
  • 心得体会>
  • 工作报告>
  • 党团相关>
  • 幼儿/小学教育>
  • 高等教育>
  • 经济/贸易/财会>
  • 建筑/环境>
  • 金融/证券>
  • 医学/心理学>
  • ImageVerifierCode 换一换
    首页 三一文库 > 资源分类 > DOC文档下载  

    EDA交通灯控制电路设计说课材料.doc

    • 资源ID:13788748       资源大小:101.50KB        全文页数:10页
    • 资源格式: DOC        下载积分:4
    快捷下载 游客一键下载
    会员登录下载
    微信登录下载
    三方登录下载: 微信开放平台登录 QQ登录   微博登录  
    二维码
    微信扫一扫登录
    下载资源需要4
    邮箱/手机:
    温馨提示:
    用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP免费专享
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    EDA交通灯控制电路设计说课材料.doc

    设计课题二:交通灯控制电路设计一、问题描述:交通灯的显示有很多方式, 如十字路口、 丁字路口等, 而对于同一个路口又 有很多不同的显示要求, 比如十字路口, 车辆如果只要东西和南北方向通行就很 简单,而如果车子可以左右转弯的通行就比较复杂, 本实验仅针对最简单的南北 和东西直行的情况。二、功能要求:要完成本实验,首先必须了解交通路灯的燃灭规律。 依人们的交通常规,“红 灯停,绿灯行,黄灯提醒”。其交通灯的燃灭规律为:初始态是两个路口的红灯 全亮,之后,东西路口的绿灯亮,南北路口的红灯亮,东西方向通车,延时一段 时间后,东西路口绿灯灭,黄灯开始闪烁。闪烁若干次后,东西路口红灯亮,而 同时南北路口的绿灯亮, 南北方向开始通车, 延时一段时间后, 南北路口的绿灯 灭,黄灯开始闪烁。闪烁若干次后,再切换到东西路口方向,重复上述过程。系统要求实现对 2 组交通灯控制信号的模拟输出,其控制规律为如上所述, 同时要完成等待时间模拟的输出, 即红绿灯的持续时间倒数输出。 系统时钟输入 为一个1KHz的系统时钟。目录一、交通灯控制电路设计的主要功能 2二、课题的功能模块的划分 2三、主要功能的实现 31.1 倒计时模块 31.2 交通灯控制转换模块 . 3四、系统调试与仿真 6五、总结与体会 6六、附件 7七、评分表 错误 ! 未定义书签一、交通灯控制电路设计的主要功能交通灯控制电路设计遵循“红灯停,绿灯行,黄灯提醒”原则。其初始态是 两个路口的红灯全亮,之后,东西路口的绿灯亮,南北路口的红灯亮,东西方向 通车,延时一段时间后,东西路口绿灯灭,黄灯开始闪烁。闪烁若干次后,东西 路口红灯亮,而同时南北路口的绿灯亮,南北方向开始通车,延时一段时间后, 南北路口的绿灯灭,黄灯开始闪烁。闪烁若干次后,再切换到东西路口方向,重 复上述过程。系统要求实现对2组交通灯控制信号的模拟输出,其控制规律为如 上所述,同时要完成等待时间模拟的输出,即红绿灯的持续时间倒数输出。系统 时钟输入为一个1KHz的系统时钟。本实验中主要应用了状态机以及减法器的设计原理。在状态连续变化的数字 系统设计中,采用状态机的设计思想有利于提高设计效率,增加程序的可读性, 减少错误的发生几率。同时,状态机的设计方法也是数字系统中一种最常用的设 计方法。、课题的功能模块的划分三、主要功能的实现1.1倒计时模块倒计时模块采用减法计数器设计,等到时钟的上升沿来到时,对计数器进行计数。其中减法计数器的代码如下:process(lock,clk)beginif lock='1' thencnt<=init;else if clk'event and clk='1' thencnt<=cnt-1;if cnt=0 thenov<='1'end if;end if;time<=cnt;end if;end process;1.2 交通灯控制转换模块在设计交通灯控制电路转换模块时, 采用状态机来实行这个功能模块, 其中 状态机共有五个状态,如:两个路口的红灯全亮;东西路口的绿灯亮,而南北路 口的红灯亮;在延时后,东西路口绿灯灭,黄灯开始闪烁;在闪烁若干次后,东 西路口的红灯亮,同时南北路口的绿灯亮;在延时后,南北路口的绿灯灭,黄灯 开始闪烁;在闪烁若干次后,在切换到东西路口方向。library ieee;时钟信号,复位信号时间信号port (clk,rst:in std_logic; time:out integer range 0 to 59; r1,r2,y1,y2,g1,g2:out std_logic);architecture rt1 of trafic_light istype state is(r1r2,r1g2,r1y2,r2g1,r2y1);signal n_state ,c_state:state;signal cnt ,init:integer range 0 to 49; signal lock,ov:std_logic;beginstate_reg:process(clk,rst)beginif rst='1'then c_state<=r1r2;elsif clk'event and clk='1'thenc_state <=n_state;end if;end process;state_com:process(c_state,ov) - 交通灯控制转换 begincase c_state iswhen r1g2=>r1<='1'g2<='1' y1<='0' r2<='0'g2<= '0'y2<='0' init<=9;lock<='1'n_state<=r1g12;when r1g12=>r1<='1'g2<='1' y1<='0' r2<='0'g2<= '0'y2<='0'init<=0;lock<='0'if ov='1' then n_state<=r1y2;else n_state<=r1g21; end if;when r1y2=>r1<='1'y2<='1'g1<='0' r2<='0'y1<='0'g2<='0' init<=49;lock<='1'n_state<=r1y21;when r1y21=>r1<='1'y2<='1'g1<='0' r2<='0'y1<='0'g2<='0'init<=0;lock<='0' if ov='1' then n_state<=r2g1; else n_state<=r1y21; end if;when r2g1=>r2<='1'g1<='1'y1<='0' r1<='0'g2<='0'y2<='0' init<=9;lock<='1'n_state<=r2g11;when r2g11=>r2<='1'g1<='1'y1<='0' r1<='0'g2<='0'y2<='0' init<=0;lock<='0'if ov='1' then n_state<=r2y1;else n_state<=r2g11; end if;when r2y1=>r2<='1'y1<='1'g1<='0' r1<='0'y2<='0'g2<='0' init<=49;lock<='1'n_state<=r2y11;when r2y11=>r2<='1'y1<='1'g1<='0' r1<='0'y2<='0'g2<='0' init<=0;lock<='0'if ov='1' then n_state<=r1g2; else n_state<=r2y11; end if;when others=>n_state<=r1r2;end case;end process;四、系统调试与仿真SimulMion rnotfr TminqJd罰顷Tima R耳佃陌* *| J Forfarln*4rl7 5Gti«.o :1T525H?H 亂仍« m小 MJ®皿和 2i灯* “、 師矜匕里屈1初始态是两个路口的红灯全亮,之后,东西路口的绿灯亮,南北路口的红灯 亮,东西方向通车,延时一段时间后,东西路口绿灯灭,黄灯开始闪烁。闪烁若 干次后,东西路口红灯亮,而同时南北路口的绿灯亮,南北方向开始通车,延时 一段时间后,南北路口的绿灯灭,黄灯开始闪烁。闪烁若干次后,再切换到东西 路口方向,重复上述过程。五、总结与体会通过这次EDA课程设计,我不仅熟悉了 Quartus U软件的使用方法,而且学 习了 VHDL基本逻辑电路和状态机电路的综合设计应用。理论结合实际,很好地 实现了学以致用。除此之外,还加强了我思考和解决问题的能力.现在设计已经做好了,自己感觉还是比较好的,虽然花了很多的时间,但学到了很多东西.做课 程设计的时候,自己把整个书本都看了几遍,还在网上查阅了很多的有关交通灯 控制电路设计的资料,大大增强了自己对知识的理解 ,很多以前不是很懂的问题 现在都已经一一得以解决了。EDA设计我感觉程序调试、试验软件、硬件熟悉最重要。在编完各模块程序 之后,编译查错最初有三十几个错误,有输入错误、语法错误。一遍一遍的变异 查错,直到没有错误。必须注意工程名和实体名一致,不然一般会出错。在没有错误之后可以进行波型仿真。 若与理想的不同, 再查看程序, 有无原理上的编辑 错误或没有查出的输入错误这次课程设计之后 ,使我明白了 , 做任何事情都要认真仔细 ,不然的话 , 你会 花更多的时间才会做好 .课程设计有利于提高我们的动手能力 , 能把我们所学的 书本知识运用到实际生活中去 .同时也丰富了我们的业余生活 , 提高我们对知识 的理解能力。六、附件library ieee;entity trafic_light isport (clk,rst:in std_logic; 时钟信号,复位信号time:out integer range 0 to 59; 时间信号r1,r2,y1,y2,g1,g2:out std_logic); - 交通灯控制信号architecture rt1 of trafic_light is type state is(r1r2,r1g2,r1y2,r2g1,r2y1);signal n_state ,c_state:state;signal cnt ,init:integer range 0 to 49; signal lock,ov:std_logic;beginstate_reg:process(clk,rst)beginif rst='1'then c_state<=r1r2;elsif clk'event and clk='1'thenc_state <=n_state; end if;end process;state_com:process(c_state,ov) -begincase c_state iswhen r1g2=>r1<='1'g2<='1' y1<='0' r2<='0'g2<= '0'y2<='0' init<=9;lock<='1'n_state<=r1g12;when r1g12=>r1<='1'g2<='1' y1<='0' r2<='0'g2<= '0'y2<='0' init<=0;lock<='0'if ov='1' then n_state<=r1y2;else n_state<=r1g21;end if;when r1y2=>r1<='1'y2<='1'g1<='0'r2<='0'y1<='0'g2<='0' init<=49;lock<='1'n_state<=r1y21;when r1y21=>r1<='1'y2<='1'g1<='0' r2<='0'y1<='0'g2<='0' init<=0;lock<='0'If ov='1' then n_state<=r2g1;else n_state<=r1y21;end if;when r2g1=>r2<='1'g1<='1'y1<='0'r1<='0'g2<='0'y2<='0' init<=9;lock<='1'n_state<=r2g11;when r2g11=>r2<='1'g1<='1'y1<='0' r1<='0'g2<='0'y2<='0' init<=0;lock<='0'if ov='1' then n_state<=r2y1;交通灯控制转换else n_state<=r2g11;end if;when r2y1=>r2<='1'y1<='1'g1<='0' r1<='0'y2<='0'g2<='0'init<=49;lock<='1'n_state<=r2y11;when r2y11=>r2<='1'y1<='1'g1<='0'r1<='0'y2<='0'g2<='0'init<=0;lock<='0'if ov='1' then n_state<=r1g2;else n_state<=r2y11;end if;when others=>n_state<=r1r2;end case;end process;process(lock,clk)beginif lock='1' thencnt<=init;else if clk'event and clk='1' thencnt<=cnt-1;if cnt=0 thenov<='1'end if;end if;time<=cnt;end if;end process;end rtl;

    注意事项

    本文(EDA交通灯控制电路设计说课材料.doc)为本站会员(scccc)主动上传,三一文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三一文库(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    经营许可证编号:宁ICP备18001539号-1

    三一文库
    收起
    展开