欢迎来到三一文库! | 帮助中心 三一文库31doc.com 一个上传文档投稿赚钱的网站
三一文库
全部分类
  • 研究报告>
  • 工作总结>
  • 合同范本>
  • 心得体会>
  • 工作报告>
  • 党团相关>
  • 幼儿/小学教育>
  • 高等教育>
  • 经济/贸易/财会>
  • 建筑/环境>
  • 金融/证券>
  • 医学/心理学>
  • ImageVerifierCode 换一换
    首页 三一文库 > 资源分类 > DOC文档下载  

    基于FPGA的交通灯控制课程设计报告.doc

    • 资源ID:14918945       资源大小:474KB        全文页数:12页
    • 资源格式: DOC        下载积分:4
    快捷下载 游客一键下载
    会员登录下载
    微信登录下载
    三方登录下载: 微信开放平台登录 QQ登录   微博登录  
    二维码
    微信扫一扫登录
    下载资源需要4
    邮箱/手机:
    温馨提示:
    用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP免费专享
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    基于FPGA的交通灯控制课程设计报告.doc

    课程设计报告设计题目:基于FPGA的交通灯控制 专 业 班 级 学 号 学生姓名 指导教师 设计时间 教师评分 2012年12月14日10 / 12文档可自由编辑打印目 录1、概述11实验目的11.2课程设计的组成部分12、交通灯设计的内容23、总结53.1课程设计进行过程及步骤53.2体会收获及建议94、教师评语95、成绩91、概述1实验目的(1)熟悉利用Quarturs开发数字电路的基本流程和Quarturs软件的相关操作。(2)掌握基本的设计思路,软件环境参数配置,仿真,管脚分配,利用JTAG/AS进行下载等基本操作。(3)了解VerilogHDL语言设计或原理图设计方法。(4)通过本知识点的学习,了解交通灯的工作原理,掌握其逻辑功能及设计方法。1.2课程设计的组成部分(1)系统功能:实现十字路口的交通灯显示。(2)系统要求:a. 要求控制南北、东西方向各3个灯(红、黄、绿)的亮灭;b. 用LED0-LED5六个灯来代表红绿灯,其中LED0-LED2表示南北方向的红,黄,绿灯,LED3-LED5表示东西方向的红,黄,绿灯。c. 要求南北方向红灯亮5秒,同时东西方向绿灯亮3秒,绿灯结束后,东西方向黄灯亮2秒。转东西红灯亮5秒,同时南北绿灯亮3秒,绿灯结束后,南北黄灯亮2秒,一直循环。(3)引脚分配:2、交通灯设计的内容主程序module jtd(clk,led);input clk; output7:0led; reg7:0led; reg4:0state; always (posedge clk)begin state = state + 5'b00001; case(state) 5'b00000:led<=8'b00001001;5'b00001:led<=8'b00100001; /南北红灯亮5秒,东西绿灯亮3秒,在转东西黄灯2秒5'b00010:led<=8'b00000000;5'b00011:led<=8'b00100001;5'b00100:led<=8'b00000000;5'b00101:led<=8'b00100001;5'b00110:led<=8'b00000000;5'b00111:led<=8'b00010001; 5'b01000:led<=8'b00000000;5'b01001:led<=8'b00010001; 5'b01010:led<=8'b00000000;5'b01011:led<=8'b00001100; /东西红灯亮5秒,南北绿灯亮3秒,在转南北黄灯2秒5'b01100:led<=8'b00000000;5'b01101:led<=8'b00001100; 5'b01110:led<=8'b00000000; 5'b01111:led<=8'b00001100; 5'b10000:led<=8'b00000000;5'b10001:led<=8'b00001010; 5'b10010:led<=8'b00000000;5'b10011:led<=8'b00001010; 5'b10100:led<=8'b00000000;default:state=5'b00000;endcaseendendmodule分频器部分 ,获得便于试验观察的时钟信号module fpq(clk_out,clk_in); input clk_in; output clk_out; reg clk_out; reg25:0 counter; /50_000_000=1011_1110_1011_1100_0010_0000_00 parameter cnt=25_000_00; / 50MHz is the sys clk,50_000_000=2FAF080always (posedge clk_in) begincounter<=counter+1;if(counter=cnt/2-1) begin clk_out<=!clk_out; counter<=0; end endendmodule3、总结3.1课程设计进行过程及步骤a. 用Quartus II 8.0 (32-Bit)软件建立工程:b.在工程建立好后,再建立verilog HDL filec.建好verlog HDL file 后,在里面写入两个程序(一个主程序,一个子程序),将写好的程序保存,并编译,确定没有错误后,输入引脚分配。 d.分别将两个程序转化成symbol文件(顶层实体名要和建工程时的工程名一致,否则编译时将会出现错误)e.两个程序转成symbol文件后,建立Block Diagrm/Schematic Filef.建立好Block Diagrm/Schematic File后将转化好的symbol加载到Block Diagrm/Schematic File中,将线连接好,对输入,输出命名,进行全编译。g. 在全编译没有错误之后,将.Sof文件加载到开发试验箱上。h. 观察试验箱上的led灯,亮灭情况。3.2体会收获及建议通过这次交通灯课程设计使我懂得了理论与实际相结合是很重要的,只有理论是远远不够的,只有把所学的理论知识与实践结合起来,从理论中得出结论,才能真真的为社会服务,从而提高自己的实际动手能力和独立思考能力。总的来说,整体的设计模块还是成功的,在设计中遇到很多问题,最后在同学的帮助下,终于迎刃而解,有点小小的成就感,终于觉得平时所学的知识有了是几点用途和价值。达到了理论与实际结合的目的,不仅学到了知识,还锻炼了自己。所以说这次课程设计对我来说算是有重大意义的。3.3参考资料1、百度、搜狗等搜索引擎的搜索结果(网络参考)2、数字逻辑设计与实践3、数字电路及系统设计4、教师评语5、成绩

    注意事项

    本文(基于FPGA的交通灯控制课程设计报告.doc)为本站会员(doc321)主动上传,三一文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三一文库(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    经营许可证编号:宁ICP备18001539号-1

    三一文库
    收起
    展开