欢迎来到三一文库! | 帮助中心 三一文库31doc.com 一个上传文档投稿赚钱的网站
三一文库
全部分类
  • 研究报告>
  • 工作总结>
  • 合同范本>
  • 心得体会>
  • 工作报告>
  • 党团相关>
  • 幼儿/小学教育>
  • 高等教育>
  • 经济/贸易/财会>
  • 建筑/环境>
  • 金融/证券>
  • 医学/心理学>
  • ImageVerifierCode 换一换
    首页 三一文库 > 资源分类 > DOC文档下载  

    彩灯控制器课程设计 移位寄存器型彩灯控制器课程设计1.doc

    • 资源ID:2082271       资源大小:36.01KB        全文页数:16页
    • 资源格式: DOC        下载积分:4
    快捷下载 游客一键下载
    会员登录下载
    微信登录下载
    三方登录下载: 微信开放平台登录 QQ登录   微博登录  
    二维码
    微信扫一扫登录
    下载资源需要4
    邮箱/手机:
    温馨提示:
    用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP免费专享
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    彩灯控制器课程设计 移位寄存器型彩灯控制器课程设计1.doc

    彩灯控制器课程设计 移位寄存器型彩灯控制器课程设计1 课程设计(综合实验)报告( 2011 - 2012 年度第 1 学期) 名 称: 数电实验设计 题 院 系:电气与电子工程学院 班 级: 电气0911 学 号: 学生姓名: 指导教师: 设计周数: 1成 绩: 日期:2011 年 1 月 5日 一彩灯控制器电路图大全收录时间:2010-04-09 17:08:58 来源:未知 作者:pic366 【大 中 小】点击:639 电路工作原理该彩灯控制器电路由电源电路、彩灯驱动控制电路和音频功率放大电路组成,如图1-150所示。 电源电路由电阻器R 课程设计说明书 课程名称: 数字电子技术课程设计 题 目: 彩灯控制器的设计 学生姓名: 专 业: 电子信息工程 班 级: 电信09-1 学 号: 指导教师: 李继凯 日 期: 2010 年 12 月 21日 课 程 设 计 任 务 书 一、 设计 课程设计(综合实验)报告( 2011 - 2012 年度第 1 学期) 名 称: 数电实验设计 题 院 系:电气与电子工程学院 班 级: 电气0911 学 号: 学生姓名: 指导教师: 设计周数: 1成 绩: 日期:2011 年 1 月 5日 一、课程设计(综合实验)的目的与要求1.目的1.1综合实验是教学中必不可少的重要环节,通过综合实验巩固、深化和扩展学生的理论知识与初步的专业技能,提高综合运用知识的能力,逐步增强实际工程训练。1.2注重培养学生正确的设计思想,掌握综合实验的主要内容、步骤和方法。1.3培养学生获取信息和综合处理信息的能力、文字和语言表达能力以及协作工作能力。 1.4提高学生运用所学的理论知识和技能解决实际问题的能力及其基本工程素质。 2.要求2.1 能够根据设计任务和指标要求,综合运用电子技术课程中所学到的理论知识与实践技能独立完成一个设计课题。2.2根据课题需要选择参考书籍,查阅手册、图表等有关文献资料。要求通过独立思考、深入钻研综合实验中所遇到的问题,培养自己分析、解决问题的能力。2.3进一步熟悉常用电子器件的类型和特性,掌握合理选用的原则。2.4学会电子电路的安装与调试技能,掌握常用仪器设备的正确使用方法。利用“观察、判断、实验、再判断”的基本方法,解决实验中出现的问题。 2.5学会撰写综合实验总结报告。2.6通过综合实验,逐步形成严肃认真、一丝不苟、实事求是的工作作风和科学态度,培养学生树立一定的生产观点、经济观点和全局观点。要求学生在设计过程中,坚持勤俭节约的原则,从现有条件出发,力争少损坏元件。2.7在综合实验过程中,要做到爱护公物、遵守纪律、团结协作、注意安全。 二、设计(实验)正文课题一 移位寄存器型彩灯控制器彩灯控制器可以自动控制多路彩灯按不同的节拍循环显示各种灯光变换花型,它广泛用于大型灯会、舞台灯光控制、体育馆灯光控制以及节假日灯光装饰中。实现彩灯控制的方法很多,如用EPROM编程、单片机、纯硬件电路等。当彩灯路数较少且花型变换较为简单时,可采用移位寄存器进行控制。1.设计的题目及要求1.1.要求采用移位寄存器设计一个彩灯控制器。1.2要求以按一定规律改变彩灯的输入电平值,控制彩灯的亮与灭,按预定规律显示一定的花型。所要求的花型如下:花型1:8路彩灯从左至右顺次渐亮,全亮后逆序渐灭;循环两次。花型2:8路彩灯从中间到两边对称地逐次渐亮,全亮后仍由中间到两边逐次渐灭;循环两次。花型3:8路彩灯分两半,从左至右顺次渐亮,全亮后则灭,循环三次。 表2.彩灯控制器一次总循环的状态列表时钟周期的序号 初始状态1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 00000000 10000000 11000000 11100000 11110000 11111000 11111100 11111110 11111111 11111110 11111100 11111000 11110000 11100000 11000000 10000000 00000000 10000000 11000000 11100000 11110000 11111000 11111100 11111110 11111111 11111110 11111100 11111000 11110000 11100000 11000000 10000000 状态Qh Qg QfQe Qd Qc Qb Qa时钟周期的序号 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 6400011000 00111100 01111110 11111111 11100111 11000011 10000001 00000000 00011000 00111100 01111110 11111111 11100111 11000011 10000001 00000000 10001000 11001100 11101110 11111111 00000000 10001000 11001100 11101110 11111111 00000000 10001000 11001100 11101110 11111111 00000000 00000000状态Qh Qg QfQe Qd Qc Qb Qa 当然三种花型也可以与上述相反,即:花型1:8路彩灯从右至左顺次渐亮,全亮后逆序渐灭;循环两次。花型2:8路彩灯从两边到中间对称地逐次渐亮,全亮后仍由两边到中间逐次渐灭;循环两次。 花型3:8路彩灯分两半,从右至左顺次渐亮,全亮后则灭,循环三次。1.3三种花型先以慢节拍显示一遍,再以快节拍显示一遍,构成一次大循环,然后重复。当然也可以相反。 2.设计思路及过程。2.1原理框图彩灯控制器以某种节拍按一定规律改变彩灯的输入电平值,控制彩灯的亮与灭,按预定规律显示一定的花型。因此彩灯控制器需要一个能够按一定规律输出不同高低电平编码信号的编码发生器,同时还需要编码发生器所要求的时序信号和控制信号。由于实际应用场合所带彩灯可能是功率较大的白炽灯或其它,因此还需要一定的驱动电路,实验中因采用发光二极管或指示灯,故可省略驱动电路。彩灯控制器的原理框图如图3.1.1所示。 图1 彩灯控制器原理框图2.2设计思路2.2.1节拍控制电路三种花型循环一次需要16*2+8*2+5*3=63个节拍,再加上清“0”一拍,共64拍。三种花型若先以慢节拍显示一遍,再以快节拍显示一遍,这样构成一次大循环共需128节拍。如图3.1.2所示。 图2 快慢节拍的时钟电路框图CPL(慢)的1-32节拍显示花型1,其中1-8节拍移位寄存器右移8位,9-16节拍移位寄存器左移8位,17-32节拍重复1-16节拍内容。CPL(慢)的33-48节拍显示花型2,其中33-40节拍移位寄存器1左移,移位寄存器2右移,41-48节拍重复33-40节拍内容。CPL(慢)的49-63节拍显示花型3,其中49-53节拍2个移位寄存器右移,54-58、59-63节拍重复49-53节拍内容。CPL(慢)的64节拍总清“0”。CPH(快)的64节拍与慢节拍显示相同。因此,节拍控制电路需要产生8、16、32、64、128拍的节拍控制信号。节拍控制信号电路框图3.1.1,节拍控制信号时序图3.1.4。 图3 节拍控制信号电路框图 图4 节拍控制信号时序图CP7=0时,移位脉冲CP为慢节拍CPL;CP7=1时,CP为快节拍CPH。所以能够选择快慢节拍的移位脉冲CP的表达式为:CP=CP7.CPL+CP7.CPH,CP7的周期为128节拍。 2.2.2编码发生器表3.通用移位寄存器74LS194的功能表如下:要实现上述花型显示,关键是移位寄存器的功能控制端S0、S1和左移SL、右移SR的信号电平如何组织。2.2.3 清零电路清零电路如图1.5所示。清零电路的功能如下: a)系统总清零,用启动开关S控制。 b)花型3每5拍清零一次,Cr=QE.QH。c)三种花型运行一遍即第64拍总清零一次,可用对周期为64拍的CP6微分得到的负脉冲实现。 图5 清零控制电路3.设计报告要求(设计报告格式见附录1)3.1 目的与要求:根据任务书进行填写。3.2 正 文:简单叙述设计过程(包括原理、方案);画出完整的电路原理图,并简 述各部分的功能。3.3 总结与结论:写出设计和调试过程中出现的问题及解决方法;简述心得体会。 4.实验设计过程4.1 明确设计要求。设彩灯控制器输出为1时可以点亮彩灯,为0时不能点亮,根据原题要求列出彩灯一次总循环的状态列表。考虑到74X194能左移、右移、清零或并行置数,可满足题目的要求,两片74X194共有8个输出信号,分别控制8路彩灯。由于一次花型循环实为63拍,再加一拍清零共64拍,此外,设计还要求慢节拍和快节拍各循环一次,所以总共需要128拍。对彩灯的控制信号来说,需要的状态数实为64个。当一次循环完成后,需要一个信号改变所选的时钟的频率。 4.2 由以上的分析,可将电路分为三部分: 图6.设计电路分块 4.3 下面分别设计这三部分电路:4.3.1节拍产生电路:这部分电路其实要完成两个功能。一是64个状态的产生,二是时钟频率的选择。方案:七位二进制计数器+二选一数据选择器。128节拍产生及快慢分频控制器的设计:一个时钟信号产生电路,其框图如图10-23所示,能通过由Q6(第七位二进制数)、D触发器和门电路构成的二选一数据选择器选择输出某频率的时钟信号或它的二分频信号,并将信号传递给拍产生器和移位寄存器,以此来实现彩灯快、慢共128节拍的控制。Q5Q4Q3Q2Q1Q0从000000111111循环变化,产生64个不同的状态,Q6用于选择时钟的频率。即64拍之后,时钟的频率改变。电路图如下: 图7.节拍产生电路 图.8节拍电路频率的校验由上图检验电路显示,当时100hz的振荡器工作时,第一片D触发器的高电平周期是10ms,结果正确。 图.9节拍电路频率的校验由上图检验电路显示,当时1000hz的振荡器工作时,第一片D触发器的高电平周期是1ms,结果正确。 图.10节拍电路频率的校验由上图检验电路显示,当时1000hz的振荡器工作时,第六片D触发器的高电平周期是32ms,结果正确。 图.11节拍电路频率的校验由上图检验电路显示,当时100hz的振荡器工作时,第六片D触发器的高电平周期是320ms,结果正确。4.3.2 控制信号产生电路。用产生的状态经过一定的逻辑运算后控制74X194的S0、S1、SR、SL即可得到所需要的花型。要想设计控制电路,不得不看我们所需要的花型结果。题目中要求采用移位寄存器按照一定的节拍,一定的规律改变彩灯的输入电平值,来控制彩灯的亮与灭,使其显示不同的花型,首先对彩灯的状态进行约定,Q0、Q1、Q2、Q3、Q4、Q5、Q6、Q7来表示彩灯的状态,其中“1”表示相应的彩灯发光,“0”表示相应的彩灯熄灭,根据上面的设计要求列出彩灯状态一次循环的真值表如下: 表4 彩灯一次循环的状态真值表上表中用带双箭头的直线将每种花型下的8个彩灯的状态分成两组,每组包含4个彩灯。由于每个移位寄存器只能存储4个状态,所以从上表中可以明显看出本设计题目只需要两个移位寄存器,即可满足题目的要求。表5.74LS194的功能表要实现上述花型显示,关键是移位寄存器的功能控制端S0、S1和左移SL、右移SR的信号电平如何组织。通过上面有关控制器部分的论述,将7位二进制数的输出变量Q0、Q1、Q2、Q3、Q4、Q5、Q6作为组合逻辑电路的输入,而寄存器的S0、S1、SL、SR、Choose作为组合逻辑电路的输出,这样就实现了控制器对移位寄存器的控制。表4为控制器的慢节拍或快节拍的64个状态与74LS194的控制信号的对应关系:量的表达式求法类似,这里不再一一画出。 表7.卡诺图化简_由上面的卡诺图可得S0(2)=Q5Q3+Q5Q4+Q3Q2Q1Q0+Q3Q2Q1+Q5Q3Q2Q1Q0同理可以得到其他控制变量的表达式如下:_S1(2)=Q5Q3 +_Q5Q_S0(2)=Q5Q3+Q5Q4+Q3Q2Q1Q0+Q3Q2Q1+Q5Q3Q2Q1Q0 SL(2)=1_SR(2)=Q2_S1(1)=Q3+Q5_3_S0(1)=Q5Q3+Q5Q4Q3Q2Q1Q0+Q4Q_Q2Q1Q0+Q4Q3Q2Q1SL(1)=Q5Q2+Q5Q4+Q5Q2 SR(1)=0根据上面的各量的表达式画出组合逻辑电路图,再将时钟信号和7位二进制计数器电路加入,即完成整个彩灯控制电路的设计,最后在计算机上调试。 图12.控制电路用逻辑门来实现4.3.3 花型产生电路:用两片74X194的八路输出分别控制八个彩灯即可。电路图如下 图13.花型产生电路4.3.4将上述三个部分结合起来,即可得到完整的电路图: 图14.完整电路三、课程设计(综合实验)总结或结论本次试验让我对数电知识有了更进一步的认识,让我知道如何在实际生活中运用学过的知识。其实,在刚开始我并不像选择此题,我觉得很难。但是队友的一句话让我打起了精神,他说可以将学会的彩灯控制技术用于表白。这句话对我最大的触动就是,出于学习技术而不是挑剔难度去选择试题对我们学习知识更有帮助。本次综合实验所做的题目为移位寄存器型彩灯控制器,其综合考察了组合逻辑电路和时序逻辑电路的设计,同时将触发器、计数器、移位寄存器和数据选择器等数字电子集成的电路结合起来,具有一定的综合性。通过本次试验让我了解了数字系统的设计步骤和方法,对一些特定的功能模块也有了更深的的理解.四、参考文献:数字电子技术基础附录一:一、课程设计(综合实验)的目的与要求1 正文为宋体,五号字 行间距为2111 12 二、设计(实验)正文1 正文为宋体,五号字 行间距为2111 -12三、课程设计(综合实验)总结或结论1 正文为宋体,五号字 行间距为2111 12四、参考文献1 作者1, 作者2. 书名. 出版单位, 版本. 出版日期 附录(设计流程图、程序、表格、数据等)- - - - - 课程设计(综合实验)报告( 2011 - 2012 年度第 1 学期) 名 称: 数电实验设计 题 院 系:电气与电子工程学院 班 级: 电气0911 学 号: 学生姓名: 指导教师: 设计周数: 1成 绩: 日期:2011 年 1 月 5日 一 课程设计(综合实验)报告( 2011 - 2012 年度第 1 学期) 名 称: 数电实验设计 题 院 系:电气与电子工程学院 班 级: 电气0911 学 号: 学生姓名: 指导教师: 设计周数: 1成 绩: 日期:2011 年 1 月 5日 一 课程设计(综合实验)报告( 2011 - 2012 年度第 1 学期) 名 称: 数电实验设计 题 院 系:电气与电子工程学院 班 级: 电气0911 学 号: 学生姓名: 指导教师: 设计周数: 1成 绩: 日期:2011 年 1 月 5日 一百度搜索“就爱阅读”,专业资料,生活学习,尽在就爱阅读网92to.com,您的在线图书馆 16

    注意事项

    本文(彩灯控制器课程设计 移位寄存器型彩灯控制器课程设计1.doc)为本站会员(本田雅阁)主动上传,三一文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三一文库(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    经营许可证编号:宁ICP备18001539号-1

    三一文库
    收起
    展开