欢迎来到三一文库! | 帮助中心 三一文库31doc.com 一个上传文档投稿赚钱的网站
三一文库
全部分类
  • 研究报告>
  • 工作总结>
  • 合同范本>
  • 心得体会>
  • 工作报告>
  • 党团相关>
  • 幼儿/小学教育>
  • 高等教育>
  • 经济/贸易/财会>
  • 建筑/环境>
  • 金融/证券>
  • 医学/心理学>
  • ImageVerifierCode 换一换
    首页 三一文库 > 资源分类 > PPT文档下载  

    EDA技术实用教程第1章概述.ppt

    • 资源ID:2149117       资源大小:4.30MB        全文页数:50页
    • 资源格式: PPT        下载积分:6
    快捷下载 游客一键下载
    会员登录下载
    微信登录下载
    三方登录下载: 微信开放平台登录 QQ登录   微博登录  
    二维码
    微信扫一扫登录
    下载资源需要6
    邮箱/手机:
    温馨提示:
    用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP免费专享
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    EDA技术实用教程第1章概述.ppt

    EDA 技术实用教程,第 1 章 概 述,1.1 EDA技术及其发展, EDA?(Electronic Design Automation电子设计自动化) 是指利用计算机完成电子系统的设计。 EDA技术是以计算机和微电子技术为先导, 汇集了计算机图 形学、 拓扑、 逻辑学、 微电子工艺与结构学和计算数学 等多种计算机应用学科最新成果的先进技术。 EDA技术以计算机为工具, 代替人完成数字系统的逻辑综 合、布局布线和设计仿真等工作。,EDA (Electronic Design Automation),EDA技术发展的三个阶段,CAD阶段(Computer Aided Design) (20世纪60年代中期20世纪80年代初期) 特点:一些单独的工具软件, 主要有PCB(Printed Circuit Board)布线设计、 电路模拟、 逻辑模拟及版图的绘制等, 作用:通过计算机的使用, 从而将设计人员从大量繁琐重复的计算和绘图工作中解脱出来。 例如:目前常用的Protel早期版本Tango, 以及用于电路模拟的SPICE软件和后来产品化的IC版图编辑与设计规则检查系统等软件,,2. CAE阶段(Computer Aided Engineering) (20世纪80年代初期20世纪90年代初期) 特点: 各种设计工具(如原理图输入、 编译与连接、 逻辑模拟、 测试码生成、 版图自动布局)以及各种单元库已齐全。 采用基于单元库的半定制设计方法, 采用门阵列和标准单元设计的各种ASIC得到了极大的发展, 将集成电路工业推入了ASIC时代。 按照设计方法学制定的设计流程, 可以实现从设计输入到版图输出的全程设计自动化。,3. EDA阶段(20世纪90年代以来) 特点: 20世纪90年代以来, 微电子技术以惊人的速度发展, 其工艺水平达到深亚微米级, 在一个芯片上可集成数百万乃至上千万只晶体管, 工作速度可达到GHz对EDA系统提出了更高的要求。 出现了以高级语言描述、 系统仿真和综合技术。 不仅极大地提高了系统的设计效率, 而且使设计人员摆脱了大量的辅助性及基础性工作, 将精力集中于创造性的方案与概念的构思上。,EDA技术在进入21世纪后,得到了更大的发展 :,1.2 EDA技术实现目标,目标:是完成专用集成电路ASIC的设计和实现,ASIC(Application Specific Integrated Circuit): 专门为某一应用领域或某一专门用户需要而设计制造的LSI或VLSI电路。,三种实现途径:,专用集成电路ASIC是厂家按用户的具体要求(如功能、性能或技术等),为用户的特定系统定制的集成电路。如彩电RGB三基色处理芯片、投影机的数字微镜DMD芯片等。,1、模拟ASIC:除目前传统的运算放大器、 功率放大器等电路外, 模拟ASIC由线性阵列和模拟标准单元组成, 它的发展还相当缓慢, 其原因是模拟电路的频带宽度、 精度、 增益和动态范围等暂时还没有一个最佳的办法加以描述和控制。 但其发展势在必行:模拟ASIC可减少芯片面积, 提高性能,降低费用, 扩大功能, 降低功耗, 提高可靠性, 缩短开发周期。,2、数字ASIC: 2.1全定制集成电路是厂家按规定的功能、性能要求,对电路的结构布局、布线均进行专 门的最优化设计,以达到芯片的最佳利用。 半定制集成电路由厂家提供一定规格的功能块,如门阵列、标准单元、可编程逻辑器 件等,用户利用专门的软件进行必要的连接,从而设计出所需要的专用集成电路。,2.2门阵列(Gate Array)是按传统阵列和组合阵列(PMOS和NMOS晶体管行)在硅片上制成具有标准逻辑门的形式, 它是不封装的半成品, 生产厂家可根据用户要求, 在掩膜中制作出互连的图案(码点), 最后封装为成品, 再提供给用户。 标准单元(Standard Cell)是由IC厂家将预先设置好、 经过测试且具有一定功能的逻辑块作为标准单元存储在数据库中, 包括标准的TTL、 CMOS、 存储器、 微处理器及I/O电路的专用单元阵列。,什么是掩膜? 在半导体制造中,许多芯片工艺步骤采用光刻技术,用于这些步骤的图形“底片”称为掩膜(也称作“掩模”),其作用是:在硅片上选定的区域中对一个不透明的图形模板掩膜,继而下面的腐蚀或扩散将只影响选定的区域。(祥见光刻原理),提示:掌握 曝光法制作电路板,3. 混合ASIC(不是指模数混合的ASIC) 具有PLD可编程功能和逻辑资源,同时有可调用和配置的硬件标准单元模块(如CPU、RAM、ROM、硬件加法器、乘法器、锁相环等)。,EP2C20的内部结构框图,Stratix器件内部结构框图,1.3 硬件描述语言VHDL,VHDL VHSIC(Very High Speed Integrated Circuit)Hardware Description Language,VHDL,Verilog HDL,SystemVerilog,SystemC,具有很强的电路描述和建模能力,具有与具体硬件电路无关和与设计平台无关的特性,具有良好的电路行为描述和系统描述的能力,87版本、93版本,1.4 VHDL综合,把抽象的实体结合成单个或统一的实体。 即将用行为和功能层次表达的电子系统转换为低层次的便于具体实现的模块组合装配的过程。,编译器和综合功能比较,这种代码限于特定CPU而不能移植,不代表硬件结构,代表特定的硬件结构,不依赖任何特定硬件环境,能容易地移植到任何通用的硬件环境中。,1.4 VHDL综合,图1-3 VHDL综合器运行流程,强调电路的行为和功能,而不是电路如何实现。,选择电路的实现方案,选择一种能充分满足各项约束条件且成本最低的实现方案,1.5 基于VHDL的自顶向下设计方法 1.5.1传统的系统硬件自底向上(bottom up)设计方法,(附:1/100秒表的功能要求: (1)精度应大于1/100s (2)计时器的最长计时时间为1h (3)设计复位和启/停开关 复位开关的功能:只要一按复位开关,计时应立即终止,并对 计时器清零。 启/停开关的功能:按一下启/停开关,计时器开始计时;再按一下启/停开关,计时器终止计时;),主要步骤: 1.根据系统对硬件的要求,详细编制技术规格书,并画出系统控制流图;,2.根据技术规格书和系统控制流图,对系统功能进行细化,合理地划分功能模块,并画出系统的功能框图; 3.进行功能模块的细化和电路设计; 4.各模块电路设计、调试完成后,将各功能模块的硬件电路连接起来再进行系统的调试,最后 完成整个系统的硬件设计; (特点:整个设计从最底层开始设计,直到最高层设计完毕),AM收音机原理框图,HX108-2 AM收音机原理图,黑白电视机的电路原理图,1.5.2 基于VHDL的自顶向下设计方法,自顶向下设计方法:就是从系统总体要求出发,自上向下 地逐步将设计内容细化,最后完成系统硬件的整体设计,分为三个层次: 第一层次:行为描述: 实质上就是对整个系统的数学模型的描述。 例1-1 约翰逊六进制计数器 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY counter IS PORT( clk:IN STD_LOGIC; rs: IN STD_LOGIC; count_out: OUT STD_LOGIC_VECTOR(2 DOWNTO 0); END counter;,ARCHITECTURE behav OF counter IS SIGNAL next_count: STD_LOGIC_VECTOR(2 DOWNTO 0); BEGIN PROCESS(rs,clk) BEGIN IF rs='0' THEN next_countnext_countnext_countnext_countnext_countnext_countnext_countnext_count=“XXX“; END CASE; END IF; count_out=next_count AFTER 10 ns; END PROCESS; END behav;,第二层次:RTL 方式描述: 用具体的门电路、运算器(+、*、)、寄存器、异步或同步状态机,数据通路等来描述行为部分。 例1-2 六进制计数器 LIBRARY IEEE; USE IEEE.STD_LDGIC_1164.ALL; USE WORK.NEW.ALL; ENTITY counter IS PORT(clk , rs : IN STD_LOGIC; q1,q2,q3: OUT STD_LOGIC); END counter;,ARCHITECTURE rt1 OF counter IS COMPONENT dff PORT(d,rs,clk,IN STD_LOGIC; q:OUT STD_LOGIC); END COMPONENT; COMPONENT djk PORT(j,k,rs,clk: IN STD_LOGIC; q: OUT STD_LOGIC); END COMPONENT; COMPONENT and2 PORT(a,b,IN STD_LOGIC; c: OUT STD_LOGIC); END COMPONENT; COMPONENT nor2 PORT(a,b: IN STD_LOGIC; c: OUT STD_LOGIC); END COMPONENT;,SIGNAL jin,kin,q1_out,q2_out,q3_out: STD_LOGIC; BEGIN u1: nor2 PROT MAP(q3_out,q2_out,jin); u2: and2 PROT MAP(q3_out,q2_out,kin); u3: djk PORT MAP(jin,kin, rs,clk,q1_out); u4: dff PORT MAP(q1_out,rs,clk,q2_out) u5: dff PORT MAP(q2_out,rs,clk,q3_out); q1=q1_out; q2=q2_out; q3=q3_out; END rtl;,第三层次:逻辑综合: 利用逻辑综合工具,将RTL方式描述的程序转换成用基本逻辑元件表示的文件(门级网络表)并采用优化算法实现最小化。 逻辑综合: 把VHDL语言或原理图翻译成基本的与或非门的连接关系。 布局布线: 把与或非门的连接关系(即门级网络表)用芯片内部的可编程结构和连线来实现。,图1-4 自顶向下的设计流程,1.6 EDA技术的优势,可以在电子设计的各个阶段、各个层次进行计算机模拟验证,有各类库的支持,某些HDL语言也是文档型的语言(如VHDL),日益强大的逻辑设计仿真测试技术,设计者拥有完全的自主权,再无受制于人之虞,良好的可移植与可测试性,为系统开发提供了可靠的保证,能将所有设计环节纳入统一的自顶向下的设计方案中,自动设计能力、不同内容的仿真模拟、完整的测试,1.7 设计流程,图1-5 应用于FPGA/CPLD的EDA开发流程,1.7.1 设计输入(原理图HDL文本编辑),1. 图形输入,2. HDL文本输入,将使用了某种硬件描述语言(HDL)的电路设计文本, 如VHDL或Verilog的源程序,进行编辑输入。,掌握,掌握,1.7.2 综合,整个综合过程就是将设计者在EDA平台上编辑输入的HDL文本、原理图或状态图形描述,依据给定的硬件结构组件和约束控制条件进行编译、优化、转换和综合,最终获得门级电路甚至更底层的电路描述网表文件。,1.7.3 适配,将由综合器产生的网表文件配置于指定的目标器件中,使之产生最终的下载文件,如sof、pof等格式的文件。,1.7.4 时序仿真与功能仿真,FPGA与CPLD的辨别和分类主要是根据其结构特点和工作原理。通常的分类方法是: 将以乘积项结构方式构成逻辑行为的器件称为CPLD,如Lattice的ispLSI系列、Xilinx的XC9500系列、Altera的MAX7000S系列和Lattice(原Vantis)的Mach系列等。 将以查表法结构方式构成逻辑行为的器件称为FPGA,如Xilinx的SPARTAN系列、Altera的FLEX10K或ACEX1K系列等。,1.7.5编程下载,通常,对CPLD的下载称为编程(Program), 对FPGA中的SRAM进行直接下载的方式称为配置(Configure) 但对于反熔丝结构和flash结构的FPGA的下载和对FPGA的专用配置ROM的下载仍称为编程。,最后是将含有载入了设计的FPGA或CPLD的硬件系统进行统一测试,以便最终验证设计项目在目标系统上的实际工作情况,以排除错误,改进设计。,1.7.6硬件测试,1.8 ASIC及其设计流程,ASIC(Application Specific Integrated Circuits,专用集成电路),图1-6 ASIC分类,ASIC是相对于通用集成电路而言的,ASIC主要指用于某一专门用途的集成电路器件。 ASIC分类大致可分为数字ASIC、模拟ASIC和数模混合ASIC。,按版图结构及制造方法分,有半定制(Semi-custom)和全定制(Full-custom)两种实现方法。,全定制方法 是一种基于晶体管级的,手工设计版图的制造方法。,半定制法 是一种约束性设计方式,约束的目的是简化设计,缩短设计周期,降低设计成本,提高设计正确率。,ASIC设计方法,全定制法,半定制法,门阵列法,标准单元法,可编程逻辑器件法,1.8.1 ASIC设计简介(参见1.2EDA技术实现目标),1.8.2 一般ASIC设计的流程,1.8.2 一般ASIC设计的流程,1.8.2 一般ASIC设计的流程,1.8.2 一般ASIC设计的流程,1.8.2 一般ASIC设计的流程,1.8.2 一般ASIC设计的流程,1.8.2 一般ASIC设计的流程,1.8.2 一般ASIC设计的流程,1.8.2 一般ASIC设计的流程,1.8.2 一般ASIC设计的流程,1.8.2 一般ASIC设计的流程,1.8.2 一般ASIC设计的流程,1.8.2 一般ASIC设计的流程,图1-8 ASIC设计流程,什么叫流片? 像流水线一样通过一系列工艺步骤制造芯片。 即从一个电路图到一块芯片,检验每一个工艺步骤是否可行,检验电路是否具备我们所要的性能和功能。如果流片成功,就可以大规模地制造芯片。,1.9 常用EDA工具,1.9.1 设计输入编辑器,1.9.4 适配器(布局布线器),1.9.5 下载器(编程器),适配器的任务是完成目标系统在器件上的布局布线,1.10 QuartusII 简介,图1-9 Quartus II设计流程,1.11 IP核简介,IP (Intellectual Property),使用VHDL等硬件描述语言描述的功能块,完成了综合的功能块,厂家固化在芯片中的功能块,Excalibur 片内集成硬核CPU(ARM922T)的PLD/FPGA产品 本站对该芯片评级: 简评:使用不多,主要用于ASIC验证和科研,不推广,Altera可编程逻辑器件Excalibur 片内集成硬核CPU(ARM922T),EP2C20的内部结构框图,8051单片机IP核应用,KX康芯科技,1.12 EDA的发展趋势,在一个芯片上完成的系统级的集成已成为可能,可编程逻辑器件开始进入传统的ASIC市场,EDA工具和IP核应用更为广泛,高性能的EDA工具得到长足的发展,计算机硬件平台性能大幅度提高,为复杂的SoC设计提供了物理基础。,习 题,1-1 叙述EDA的FPGA/CPLD设计流程。 1-2 IP是什么?IP与EDA技术的关系是什么? 1-3 叙述ASIC的设计方法。 1-4 FPGA/CPLD在ASIC设计中有什么用处? 1-5 简述在基于FPGA/CPLD的EDA设计流程中所涉及的EDA工具,及其在整个流程中的作用。,作业(查资料),EDA常用软件 :EWB、PSPICE、OrCAD、 Protel、 PCAD、Viewlogic、Mentor、Graphics、Synopsys、LSIlogic、Cadence、MicroSim等等。 1、电子电路设计与仿真工具:SPICE/PSPICE;EWB;Matlab;SystemView;MMICAD等。 2、PCB设计软件:Protel; OrCAD;Viewlogic; PowerPCB; Cadence PSD;Expedition PCB;Zuken CadStart; SPICE;PCB Studio; TANGO等等。 3、IC设计软件:Cadence、Mentor Graphics和Synopsys。 4、PLD设计工具: ALTERA :MAX+PLUS II、Quartus II; XILINX :Foundation、ISE。 5、其它EDA软件:如专门用于微波电路设计和电力载波工具、PCB制作和工艺流程控制等领域的工具,,

    注意事项

    本文(EDA技术实用教程第1章概述.ppt)为本站会员(本田雅阁)主动上传,三一文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三一文库(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    经营许可证编号:宁ICP备18001539号-1

    三一文库
    收起
    展开