欢迎来到三一文库! | 帮助中心 三一文库31doc.com 一个上传文档投稿赚钱的网站
三一文库
全部分类
  • 研究报告>
  • 工作总结>
  • 合同范本>
  • 心得体会>
  • 工作报告>
  • 党团相关>
  • 幼儿/小学教育>
  • 高等教育>
  • 经济/贸易/财会>
  • 建筑/环境>
  • 金融/证券>
  • 医学/心理学>
  • ImageVerifierCode 换一换
    首页 三一文库 > 资源分类 > PPT文档下载  

    eda教程时钟分频电路.ppt

    • 资源ID:2149119       资源大小:274.51KB        全文页数:14页
    • 资源格式: PPT        下载积分:4
    快捷下载 游客一键下载
    会员登录下载
    微信登录下载
    三方登录下载: 微信开放平台登录 QQ登录   微博登录  
    二维码
    微信扫一扫登录
    下载资源需要4
    邮箱/手机:
    温馨提示:
    用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP免费专享
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    eda教程时钟分频电路.ppt

    2019/2/22,1,计算机计算机EDA设计实验教程,实验一 时钟分频电路,北航计算机学院 艾明晶,2019/2/22,2,内容概要,实验目的 实验要求 实验原理 实验内容 实验报告,2019/2/22,3,实验目的,了解时钟分频电路的原理。 掌握使用always块结构和if-else语句实现时序逻辑电路的方法。 掌握使用reg型变量实现同步计数器的方法。 掌握Verilog HDL语言中parameter常量及if语句的用法。 学习和掌握采用ModelSim软件进行功能仿真的方法。,2019/2/22,4,实验要求,设计两个时钟分频电路 输入信号 时钟信号clki 清零脉冲clr(高有效) 输出信号 输出时钟信号clko (1)假设输入时钟周期为1ms,设计分频电路1,使输出时钟周期为10ms,并采用同步清零方式。 (2)假设输入时钟频率50MHz,设计分频电路2,使输出时钟周期为1ms,并采用异步清零方式。,2019/2/22,5,实验原理,时钟分频电路原理 异步二进制加法计数器 用n个T触发器,实现对输入时钟的2n分频。将低位触发器的输出,接到高一位触发器的CP端(下降沿触发时),最高位触发器的输出,即为对输入时钟的2n分频信号。,2019/2/22,6,实验原理,时钟分频电路原理 同步二进制加法计数器(推荐) 用n个T触发器,实现对输入时钟的2n分频。即第一级触发器的T1=1;第二级触发器在第一级触发器为1时,再来计数脉冲才翻转,因此T2=Q1;第三级触发器在第一级、第二级触发器都为1时,再来计数脉冲才翻转,因此T3=Q2·Q1;依此类推,第n级触发器的Tn=Qn-1 · · ·Q2·Q1。最高位触发器的输出,即为对输入时钟的2n分频信号。,2019/2/22,7,如何实现非2n分频的整数分频? 可使用reg型变量实现计数器的功能。用parameter常量设定计数器的宽度,当计数器的值达到此宽度时,计数器重新回到0状态,否则继续计数 。,reg3:0 count; parameter count_width=10; begin if(count = count_width-1) count = 0; else count = count+1; end clkout=count3;,若电路没有特殊要求,可用加法计数器的最高位输出作为分频电路的输出;否则根据实际要求对分频电路的输出进行赋值。,2019/2/22,8,实验内容,用Verilog HDL语言设计(1ms-10ms)分频电路 1. 用加法计数器的最高位输出作为分频电路的输出。,2019/2/22,9,2. 修改程序,使输出时钟clko在计够10个数后才有一个正跳变。,采用非阻塞赋值语句。clkout只在计数值为9时为“1“,其他时候都为“0“;采用非阻塞赋值,clkout比count值正好滞后一个时钟周期。,2019/2/22,10,3. 设计电路使输出时钟信号的前半周为低电平,后半周为高电平。,提示:当计数器计到分频系数的一半时,计数器清零; 且clkout翻转(clkout = clkout;) 。,2019/2/22,11,用Verilog HDL语言设计(20ns-1ms)分频电路 1. 用加法计数器的最高位输出作为分频电路的输出。,2019/2/22,12,2. 修改程序,使输出时钟clkout在计够50000个数 后才有一个正跳变。,2019/2/22,13,3. 采用ModelSim软件进行功能仿真,提示:在测试文件中时钟激励的周期设为20ns, 仿真结束时间设为3ms 。,2019/2/22,14,实验报告,在第一个分频电路中,三种方法的仿真波形有何不同?哪种波形更合理? 仿真中是否出现竞争冒险?若有,如何解决? 给出每种方法的时序仿真波形截图,并结合自己的设计思路加以说明。 同步清零与异步清零的实现方式有何区别?各适用于什么场合? 思考与练习:利用10MHz的时钟,设计一个单周期形状如下图所示的周期波形。,

    注意事项

    本文(eda教程时钟分频电路.ppt)为本站会员(本田雅阁)主动上传,三一文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三一文库(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    经营许可证编号:宁ICP备18001539号-1

    三一文库
    收起
    展开