欢迎来到三一文库! | 帮助中心 三一文库31doc.com 一个上传文档投稿赚钱的网站
三一文库
全部分类
  • 研究报告>
  • 工作总结>
  • 合同范本>
  • 心得体会>
  • 工作报告>
  • 党团相关>
  • 幼儿/小学教育>
  • 高等教育>
  • 经济/贸易/财会>
  • 建筑/环境>
  • 金融/证券>
  • 医学/心理学>
  • ImageVerifierCode 换一换
    首页 三一文库 > 资源分类 > DOC文档下载  

    cordic算法verilog实现(复杂版).doc

    • 资源ID:3250671       资源大小:20.50KB        全文页数:3页
    • 资源格式: DOC        下载积分:2
    快捷下载 游客一键下载
    会员登录下载
    微信登录下载
    三方登录下载: 微信开放平台登录 QQ登录   微博登录  
    二维码
    微信扫一扫登录
    下载资源需要2
    邮箱/手机:
    温馨提示:
    用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP免费专享
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    cordic算法verilog实现(复杂版).doc

    cordic算法verilog实现(复杂版)module cordic (clk,rst_n,ena,phase_in,sin_out,cos_out,eps);parameter DATA_WIDTH=8;parameter PIPELINE=8;input clk;input rst_n;input ena;inputDATA_WIDTH-1:0 phase_in;output DATA_WIDTH-1:0sin_out; output DATA_WIDTH-1:0cos_out;output DATA_WIDTH-1:0eps;reg DATA_WIDTH-1:0sin_out;reg DATA_WIDTH-1:0cos_out;reg DATA_WIDTH-1:0eps;reg DATA_WIDTH-1:0phase_in_reg;reg DATA_WIDTH-1:0 x0,y0,z0;reg DATA_WIDTH-1:0x1,y1,z1;reg DATA_WIDTH-1:0x2,y2,z2;reg DATA_WIDTH-1:0x3,y3,z3;reg DATA_WIDTH-1:0x4,y4,z4;reg DATA_WIDTH-1:0x5,y5,z5;reg DATA_WIDTH-1:0x6,y6,z6;reg DATA_WIDTH-1:0x7,y7,z7;reg 1:0 quadrantPIPELINE:0;integer i;/get real quadrant and map to first_n quadrantalways(posedge clk or negedge rst_n)begin if(!rst_n) phase_in_reg=8b0000_0000; else if(ena) begin case(phase_in7:6) 2b00:phase_in_reg=phase_in; 2b01:phase_in_reg=phase_in-8h40;/-pi/2 2b10:phase_in_reg=phase_in-8h80;/-pi 2b11:phase_in_reg=phase_in-8hc0;/-3pi/2 default:; endcase endendalways(posedge clk or negedge rst_n)begin if(!rst_n) begin x0=8b0000_0000; y0=8b0000_0000; z0=8b0000_0000; end else if(ena) begin x0=8h4D;/define aggregate constant Xi=1/P=1/1.6467=0.60725 (Xi=2*P+8h4D) y0=8h00; z0=phase_in_reg; endend/level 1always(posedge clk or negedge rst_n)begin if(!rst_n) begin x1=8b0000_0000; y1=8b0000_0000; z1=8b0000_0000; end else if(ena) if(z07=1b0) begin x1=x0-y0; y1=y0+x0;

    注意事项

    本文(cordic算法verilog实现(复杂版).doc)为本站会员(白大夫)主动上传,三一文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三一文库(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    经营许可证编号:宁ICP备18001539号-1

    三一文库
    收起
    展开