欢迎来到三一文库! | 帮助中心 三一文库31doc.com 一个上传文档投稿赚钱的网站
三一文库
全部分类
  • 研究报告>
  • 工作总结>
  • 合同范本>
  • 心得体会>
  • 工作报告>
  • 党团相关>
  • 幼儿/小学教育>
  • 高等教育>
  • 经济/贸易/财会>
  • 建筑/环境>
  • 金融/证券>
  • 医学/心理学>
  • ImageVerifierCode 换一换
    首页 三一文库 > 资源分类 > DOC文档下载  

    xilinx Vivado工具使用技巧.doc

    • 资源ID:3275295       资源大小:18.50KB        全文页数:3页
    • 资源格式: DOC        下载积分:2
    快捷下载 游客一键下载
    会员登录下载
    微信登录下载
    三方登录下载: 微信开放平台登录 QQ登录   微博登录  
    二维码
    微信扫一扫登录
    下载资源需要2
    邮箱/手机:
    温馨提示:
    用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP免费专享
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    xilinx Vivado工具使用技巧.doc

    xilinx Vivado工具使用技巧综合属性在Vivado Design Suite中,Vivado综合能够合成多种类型的属性。在大多数情况下,这些属性具有相同的语法和相同的行为。如果Vivado综合支持该属性,它将使用该属性,并创建反映已使用属性的逻辑。如果工具无法识别指定的属性,则Vivado综合会将属性及其值传递给生成的网表。1.ASYNC_REGASYNC_REG是影响Vivado工具流中许多进程的属性。此属性的目的是通知工具寄存器能够在D输入引脚中接收相对于源时钟的异步数据,或者该寄存器是同步链中的同步寄存器。当遇到此属性时,Vivado综合会将其视为DONT_TOUCH属性,并在网表中向前推送ASYNC_REG属性。此过程可确保具有ASYNC_REG属性的对象未进行优化,并且流程中稍后的工具会接收属性以正确处理它。您可以将此属性放在任何寄存器上; 值为FALSE(默认值)和TRUE。可以在RTL或XDC中设置此属性。ASYNC_REG Verilog Example:(*ASYNC_REG = “TRUE” *) reg 2:0 sync_regs;2.CLOCK_BUFFER_TYPE在输入时钟上应用CLOCK_BUFFER_TYPE以描述要使用的时钟缓冲器类型。默认情况下,Vivado综合使用BUFG作为时钟缓冲器。支持的值是“BUFG”,“BUFH”,“BUFIO”,“BUFMR”,“BUFR”或“无”。 CLOCK_BUFFER_TYPE属性可以放在任何顶级时钟端口上。它可以在RTL和XDC中设置。CLOCK_BUFFER_TYPE Verilog Example(* clock_buffer_type = “none”*) input clk1;CLOCK_BUFFER_TYPE XDC Exampleset_property CLOCK_BUFFER_TYPEBUFG get_ports clk3. FSM_ENCODINGFSM_ENCODING控制状态机上的编码。通常,Vivado工具会根据最适合大多数设计的启发式方法为状态机选择编码协议。某些设计类型使用特定的编码协议可以更好地工作FSM_ENCODING可以放在状态机寄存器上。其合法价值是“one_hot”,“sequential”,“johnson”,“grey”,“auto”和“none”。 “auto”值是默认值,允许工具确定最佳编码。可以在RTL或XDC中设置此属性。FSM_ENCODING Example (Verilog)(* fsm_encoding = “one_hot” *)reg 7:0 my_state;4. KEEP使用KEEP属性可防止信号优化或被吸收到逻辑块中的优化。此属性指示综合工具保持其所处的信号,并将该信号放入网表中。例如,如果信号是2位AND门的输出,并且它驱动另一个AND门,则KEEP属性可用于防止该信号合并到包含两个AND门的较大LUT中。KEEP也常用于时序约束。如果对通常会优化的信号存在时序约束,KEEP会阻止该操作,并允许使用正确的时序规则KEEP Example (Verilog)(* keep = “true” *) wire sig1;assign sig1 = in1 assign out1 = sig1 5 RAM_STYLERAM_STYLE指示Vivado综合工具如何推断内存。可接受的值是:block:指示工具推断RAMB类型组件。distributed:指示工具推断LUT RAM。寄存器:指示工具推断寄存器而不是RAM。ultra:指示工具使用UltraScale +URAM原语。默认情况下,该工具根据启发式选择要推断的RAM,以便为大多数设计提供最佳结果。将此属性放在为RAM声明的数组或层次结构级别上。如果设置了信号,该属性将影响该特定信号。如果设置在层次结构级别上,则会影响该层次结构级别中的所有RAM。层次结构的子级别不受影响。这可以在RTL或XDC中设置。RAM_STYLE Example (Verilog)(* ram_style = “distributed” *)reg data_size-1:0 myram 2*addr_size-1:0;6 ROM_STYLEROM_STYLE指示综合工具如何推断ROM存储器。可接受的值是:block:指示工具推断RAMB类型组件distributed:指示工具推断LUT ROM。默认情况下,该工具根据启发式选择要推断的ROM,以便为大多数设计提供最佳结果。这可以在RTL和XDC中设置。ROM_STYLE Example (Verilog)(* rom_style = “distributed” *)reg data_size-1:0 myrom 2*addr_size-1:0;

    注意事项

    本文(xilinx Vivado工具使用技巧.doc)为本站会员(白大夫)主动上传,三一文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三一文库(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    经营许可证编号:宁ICP备18001539号-1

    三一文库
    收起
    展开