欢迎来到三一文库! | 帮助中心 三一文库31doc.com 一个上传文档投稿赚钱的网站
三一文库
全部分类
  • 研究报告>
  • 工作总结>
  • 合同范本>
  • 心得体会>
  • 工作报告>
  • 党团相关>
  • 幼儿/小学教育>
  • 高等教育>
  • 经济/贸易/财会>
  • 建筑/环境>
  • 金融/证券>
  • 医学/心理学>
  • ImageVerifierCode 换一换
    首页 三一文库 > 资源分类 > DOC文档下载  

    Xilinx可编程逻辑器件设计与开发(基础篇)连载14:Spartan.doc

    • 资源ID:3275317       资源大小:18.50KB        全文页数:4页
    • 资源格式: DOC        下载积分:2
    快捷下载 游客一键下载
    会员登录下载
    微信登录下载
    三方登录下载: 微信开放平台登录 QQ登录   微博登录  
    二维码
    微信扫一扫登录
    下载资源需要2
    邮箱/手机:
    温馨提示:
    用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP免费专享
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    Xilinx可编程逻辑器件设计与开发(基础篇)连载14:Spartan.doc

    Xilinx可编程逻辑器件设计与开发(基础篇)连载14:Spartan2.1.5 DSP 模块XtremeDSP为了适应越来越复杂的DSP运算,Spartan-6在Spartan 3A DSP模块DSP48A 基础上,不断进行功能扩展,推出了功能更强大的DSP48A1 SLICE。图2-31所示为DSP48A1功能框图,算术部分包含18位预加器、2个48位数据输入的多路复用器(输出X 和Z)、1818 位二进制补码乘法器,跟随一个48位宽的符号可扩展的后加法器/减法器/累加器。图2-31 DSP48A1功能框图DSP48A1的数据和控制输入连接到算术和逻辑部分。A和B输入通道上有两级流水线寄存器。其他数据和控制输入通道也有一级流水线寄存器。在使用流水线寄存器情况下最高运行速率为250MHz(在最低速度等级器件中)。该结构适应DSP应用中的众多功能,其可编程流水结构、48位内部总线、易于实现两级DSP48A1的级联的特性,增强了它的功能。图2-32所示为DSP48A1的详细框图,DSP48A1主要由以下几部分组成。一、 端口(1) A、B、C、D、M 和P 端口逻辑。DSP48A1有4个输入数据通道(A、B、C 和D)和一个数据输出通道(P),A、B 和D 口为18 位宽,C 口为48 位宽,P 口为48 位宽。DSP48A1 还有一个级联数据输入通道(B cascade)和一个级联数据输出通道(P cascade),提供相邻DSP48A1 之间的输入和输出级联功能。B cascade 是一个专用资源,可以设置其属性B_INPUT,作为相邻DSP48A1 的B 口输入。DSP48A1 还具有CIN 输入和CARRYOUT 输出,它主要用于实现相邻DSP48A1 的并行乘累加运算。级联数据输入PCIN 也是一个同相邻DSP48A1 相连的专用资源,通过ZMUX(OPMODE 3:2)动态选择。图2-32 DSP48A1详细框图M口是乘法器的36位原始输出,也可选择直接和FPGA逻辑相连,适应多种DSP运算。18位的D和B口可作为18位预加器的输入,可以旁路预加器,也可以设置OPMODE4,将预加器的输出连接到乘法器的输入。18位的A和B口提供1818乘法器输入,D、A和B可以旁路乘法器,作为X多路复用器的输入。48位C口用于Z多路复用器的通用输入,完成加或减运算。A口的25位和B口18位数据是2518乘法器输入。每个DSP48A1都能完成乘加、乘减等操作。A口和B口可以合并跳过乘法器作为X多路复用器的输入。多路复用器由配置位控制,设置信号的直通、寄存器输入或者级联输入。数据端口寄存器允许用于在增加时钟频率与数据延时之间平衡。乘法器和加法器之间的流水线寄存器为M寄存器。INMODE3:0是DSP48A1里新增的控制位,这些位控制A和D输入寄存器与预加器的函数。(2) OPMODE端口。OPMODE端口(见图2-33)支持两种输入方式,直通或者通过寄存器pipeline。使用寄存功能,可以提高DSP48A1的性能。图2-33 OPMODE端口(3) X和Z多路选择器。OPMODE提供了动态改变DSP48A1功能的方法,OPMODE的控制位对应X和Z多路选择器的选择位。多路选择器的输出作为后加法器/减法器的操作数。(4) 进位输入逻辑。进位输入逻辑是OPMODE5和CIN的函数。可能的进位输入在X和Z多路复用器的输出之前会合,在某种意义上,X和Z多路复用器函数复制了进位输入信号到进位逻辑。OPMODE和CARRYINSEL必须正确设置,确保正确选择进位输入(CIN)。图2-34中有两个输入,通CARRYINSEL位选择CIN或OPMODE5。CIN为上级DSP48A1的进位输出,OPMODE5由FPGA逻辑控制。图2-34 CARRYINSEL信号逻辑二、 算术功能(1) 预加器。预加器/预减器的输出是OPMODE6和B、D数据的函数。OPMODE6为1定义为减法操作。(2) 乘法器。如图2-35所示,二进制补码乘法器输入为18位的二进制补码,产生36位补码输出。输出可以通过逻辑右移17位,级联成更宽位数的乘法器。将输入操作数的最高位设置成0,则乘法器就能实现无符号算术运算。寄存器MREG是乘法器的输出寄存器,可以在增加一个时钟周期延时的情况下,增加乘法器性能。乘法器的36位输出可以作为X多路复用器的输入,或者通过M口(MFOUT35:0)与FPGA内部逻辑相连。乘法器的36位输出可以进行符号扩展,达到48位,作为加法器/减法器的输入。图2-35 DSP48A1内的乘法器(3) 加法器/减法器/累加器。加法器/减法器/累加器的输出是OPMODE和输入数据的函数,由OPMODE3:0选择哪个输入到X/Z多路复用器,再到加法器/减法器/累加器功能块。加法或者减法操作由OPMODE7控制,OPMODE7为1代表减法。X多路选择器的输出与CIN相加,产生的结果与Z的输出相加/减。分析DSP48A1结构后,我们知道,用它可以完成非常复杂的DSP功能,如FIR滤波器等,极大地提高了数字处理的能力。Xilinx工具提供了DSP48的原语,可以在HDL中例化,如图2-36所示。还可以通过Core Generator工具调用。图2-36 DSP48的原语

    注意事项

    本文(Xilinx可编程逻辑器件设计与开发(基础篇)连载14:Spartan.doc)为本站会员(白大夫)主动上传,三一文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三一文库(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    经营许可证编号:宁ICP备18001539号-1

    三一文库
    收起
    展开