欢迎来到三一文库! | 帮助中心 三一文库31doc.com 一个上传文档投稿赚钱的网站
三一文库
全部分类
  • 研究报告>
  • 工作总结>
  • 合同范本>
  • 心得体会>
  • 工作报告>
  • 党团相关>
  • 幼儿/小学教育>
  • 高等教育>
  • 经济/贸易/财会>
  • 建筑/环境>
  • 金融/证券>
  • 医学/心理学>
  • ImageVerifierCode 换一换
    首页 三一文库 > 资源分类 > DOC文档下载  

    多路无线防盗报警器毕业设计论文.doc

    • 资源ID:3924089       资源大小:675KB        全文页数:35页
    • 资源格式: DOC        下载积分:6
    快捷下载 游客一键下载
    会员登录下载
    微信登录下载
    三方登录下载: 微信开放平台登录 QQ登录   微博登录  
    二维码
    微信扫一扫登录
    下载资源需要6
    邮箱/手机:
    温馨提示:
    用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP免费专享
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    多路无线防盗报警器毕业设计论文.doc

    黑龙江大学毕业论文黑龙江大学毕业论文 多路无线防盗报警器 姓姓 名:名: 于于 * * 指导教师:指导教师: 田田 * * 专专 业:业: 应用电子应用电子 班班 级:级: 131 2014 年 4 月 15 日 黑龙江大学毕业论文 目 录 摘摘 要:要:.1 关键词:关键词:.1 引引 言言.2 1.绪论绪论3 1.1 设计的主要内容和意义3 1.1.1 设计无线防盗报警器的内容.3 1.1.2 设计无线防盗报警器的意义.3 1.2 无线防盗报警器的分类及其介绍3 1.2.1 车用防盗报警器.3 1.2.2 工农业报警器3 1.2.3 生活用报警器.4 2.硬件电路的设计硬件电路的设计5 2.1 多路无线防盗报警器的硬件组成5 2.1.1 系统硬件结构图.5 2.1.2 各结构图的工作方式:.6 2.2 多路无线防盗报警器的硬件设计6 2.2.1 电源设计.6 2.2.2 震动发射电路设计.7 2.2.3 发射电路设计.8 2.2.3 接收电路设计.10 2.2.4 控制、显示电路设计.11 3.软件的设计软件的设计15 3.1 KEIL应用.15 3.2 PROTEL99SE应用.15 3.3 程序流程图17 4.系统分析与调试系统分析与调试18 结结 论论.21 参考文献参考文献.22 致致 谢谢.23 附录附录 1 1 发射原理图发射原理图24 附录附录 2 2 接收原理图接收原理图25 附录附录 3 3 仿真图仿真图26 黑龙江大学毕业论文 1 多路无线防盗报警器 摘摘 要:要:该报警器具有误报率较低、安装和配置容易、成本低、能量消耗少、使用非常方便 的特点。实现的原理是感应震动信号,将其转换成超低频信号,经电路放大、输出。检测器是 利用震动传感器 SW-18015P,一旦有震动便向主机发出信号。而主机功能是通过无线接受信号, 再经单片机处理,然后数码管显示出信号发出的具体范围并同时控制喇叭发出警告声音。可以 应用于家居防盗、仓库防盗等多种防盗场合,具有很强的实用价值和良好的市场前景。 关键词:关键词:无线,报警系统,单片机 ,防盗系统 黑龙江大学毕业论文 2 引 言 无线防盗报警器的发展主要是基于传感器之上, 所以有必要先谈谈传感器的发展状况。而 传感器技术是 21 世纪人们在高新技术发展方面争夺的一个制高点,各发达国家都将传感器技术 视为现代高新技术发展的关键。从 20 世纪 80 年代起,日本就将传感器技术列为优先发展的高 新科技之首,美国等西方国家也将此技术列为国家科技和国防技术发展的重点。从而基于传感 器技术的防盗报警系统也得到了高速发展。 传统的有线防盗报警器都是检测到有盗情的时候只在本地发出警报声音,内部没有控制器, 易被破坏失效,安装,扩展也不方便。本文设计的无线防盗报警器利用单片机控制,功能强大, 并且易于扩展成多用途的智能家居系统。 本无线多路防盗报警器由 l 台接收机和多台发射机组成。接收机可以接收多台发射机(其频 率都是一样的,只是编码脉冲不同)发来的报警信号,并且加以区别,进行译码然后以数字显示 的形式将这些台发射机识别出来,同时音响报警。无线控制距离由无线电装置来决定,一般是 0 到 2000 米距离。发射部分可以配以不同探头,如无线门磁、无线烟雾、无线风雨探头、热释 电探头、温度探头等,可以实现防盗、防火、放水等无线报警,安装使用非常方便! 无论是基于那种方式的无线防盗报警器,它的工作原理都是将探测到的信号,通过编码, 经电路放大,输出并将报警信号通过天线发射出,再用接收电路接收信号,解码并通过控制电路 判断是否属于异常信号,再决定是否发送报警信号给报警电路,从而达到防盗的效果。 黑龙江大学毕业论文 3 1.绪论 1.1 设计的主要内容和意义 1.1.1 设计无线防盗报警器的内容 首先是为系统总体设计方案划分功能模块.第一部分是红外探测发射器(可以是多个探测发 射器分别安装在需要防护的地方);第二部分是主机(含接收器,处理器,报警器等);第三部分是 遥控手柄(对防盗器实行布防和撤防)。其次是确定硬件电路的设计,包含芯片的选择,具体电 路的设计如探测电路、编码与发射电路、接收与解码电路、遥控器电路等等。最后就是软件的 设计,软件的设计主要是以熟悉硬件电路的工作原理为前提来设计的。 1.1.2 设计无线防盗报警器的意义 如今市场上成熟的无线防盗报警产品有被动式的、主动式的和多技术复合式的。但前两者 都有致命的缺点就是误报率很高,而多技术复合式的防盗报警器误报率很低,也是未来发展的 主要方向。即使如此,我依旧设计的是被动式防盗报警器,因为我以目前的水准很难对已成熟 的产品有所突破而设计出一流的产品。个人认为设计无线防盗报警器的意义在于设计的过程, 在设计的过程中我们才会把这几年在学校里学到的融合,同时也让自己明白我们的学习道路还 很遥远。 1.2 无线防盗报警器的分类及其介绍 1.2.1 车用防盗报警器 在现在的社会中,机动车的数量越来越多,而为了机动车的安全,机动车用报警器就应运 而生了。例如可以对汽车油压、气压、温度及速度进行检测的汽车多功能报警器,它可以在汽 车油压偏低、气压偏低或发动机温度过高、车速过快时而发出警报,提醒驾驶员排出故障、以 便行车安全。而事实上,现在的机车中多已安装了油量监测报警器了,它会对机车油量进行监 控,当油量低于某值时会提示驾驶员加油,而如合肥等城市的公交车上已经安装了机车超速报 警装置,当公交车车速大于某值时,会发出警报提示驾驶员已超速等。 另外,还有一种常见的机动车报警装置,即当机动车在倒车时,会发出“倒车,请注意! ”的语音警示语,以提示车后的人进行回避。以及汽车防盗报警器,它具有断线和声控触发报 警功能,当车门、车窗被打开,电源锁被开启,车体被移动时,报警器会被触发而发出响亮的 警报声。而现在很多的摩托车、电瓶车等大多都安装了防盗报警系统。 1.2.2 工农业报警器 在工业应用方面,最常见的应该是有毒物质超限报警器了。如矿井中的瓦斯气体超限报警 器等。它能时时地去测量矿井中瓦斯气体的浓度,并可以在含量即将超标,可能会发生危险时 便发出警报,以提醒工人们可以迅速的离开。相似的还有可燃气体报警器等。 在农业方面,有温度、湿度超限报警器等。例如在大棚生产中,必须要保持一个适宜的温 度和湿度,此类报警器则是时时地测量大棚中的空气湿度和温度,并在过低或者过高时发出警 报,提示人们做出相应得对策。 黑龙江大学毕业论文 4 另外一方面,由于现在自然灾害比较严重,而且发生的很突然,所以一些报警器也被制造 出来,例如火山口温度超限报警器、地震报警器等等。它们可以检测火山口的温度或者地壳中 的变化,并采集数据,通过对数据的处理、比较和推测,来预测当地是否会发生自然灾害,以 提前发出警报,减少伤亡。 1.2.3 生活用报警器 报警器的应用已经深入到了我们的日常生活中,除了有水开报警器、禁止吸烟报警器等等, 比较常见的有视力保护报警器。此报警器已经普遍嵌入在台灯中,它通过感应一定范围内是否 有物体进入来判断人们是否离书本距离太近了。当感应到时,则会发出警报,提示人们注意视 力。 而另外一个常见的应用之处事在医院中,为病人呼叫报警器。有的时候,医生和护士是无法时 刻呆在病人的身边的,这样的话,病人若想有什么要求,需要医生或者护士的时候,便可以按 下报警器启动按钮,总控制室会显示“报警”的病房号、病床号等并提供给医生和护士,这样, 他们就可以迅速的赶往病人处了。 综上所述,无线防盗报警系统得应用是很广泛的。现在除了保安等一些以人力为中心的安 防部门之外,还有一种比较流行的智能报警系统。在此处,将会设计一款智能振动报警器。振 动报警器可以用于家庭门窗防盗,汽车防盗,仓库防盗等多个领域。 黑龙江大学毕业论文 5 2.硬件电路的设计 2.1 多路无线防盗报警器的硬件组成 多路无线防盗报警器主要是由无线震动探测器(震动发射电路)、无线接收电路、数据解码 电路、中央控制单元、数字显示单元、遥控电路、报警电路和电源电路等部分组成。其框图如 图 1 所示。 图1 多路无线防盗报警器的组成框图 2.1.1 系统硬件结构图 系统硬件主要分为三部分,图 2 遥控器硬件结构图;图 3 震动探测信号发射电路硬件结构 图;图 4 主机电路硬件结构图。具体的电路如附录一所示。 图2 遥控器硬件结构图 图3 震动探测信号发射电路硬件结构图 无线探头 1 无线探头 2 无线探头 4 无线探头 3 无线接收 数据解码中央处理单元报警电路 电源电路数字显示单元 遥控手柄 震 动 传 感 器 传感信号处 理芯片 编 码 芯 片 发 射 电 路 编 码 芯 片 发 射 电 路 黑龙江大学毕业论文 6 图4 主机电路硬件结构图 2.1.2 各结构图的工作方式: 遥控器的基本组成就是编码模块与要把编码发射出去的发射模块。它的功能就是对报警器 进行布防或撤防,此功能的实现实际上就是发射两组编码,一组是布防的编码,另一组是撤防 的编码。 震动探测信号发射电路的基本组成是震动探测器、电压比较器、编码模块、发射模块。工 作方式是通过震动传感器器把电压信号传给 LM393 电压比较芯片,判断震动强度,如果达到强 度就通过发射电路把代表有异常的编码发送出去。震动的灵敏度可以通过电位器调节。 主机电路是由接收模块、解码模块、处理模块、显示模块、报警模块组成。工作方式是通 过接收模块接收到信号,再由解码电路解码并把已解码信号通过处理器处理,处理器再判断接 收的是否为遥控器的信号还是探测器的异常信号,再分别处理,若是异常信号则开启报警电路 与显示电路,若是遥控器的信号就是实现撤防或布防的功能。 2.2 多路无线防盗报警器的硬件设计 2.2.1 电源设计 考虑采用典型的变压器降压,全波整流,电容滤波及集成电路稳压的思路进行设计。由于 单片机及后续的无线接收电路等都用 5 V 作为工作电源,所以在经整流和滤波电路后再用三端 集成稳压电路进行稳压,为后续电路提供稳定可靠的 5 V 直流电源,三端稳压集成电路采用 LM7805。具体电路图如图 5。 接 收 芯 片 解 码 电 路 中央 处理 芯片 STC 89C51 数码管显示电路 报警电路 1 2 3 4 C2 104 C4 104 +C1 1000UF +C3 470UF IN 1 2 OUT 3 7805VCC 220V 黑龙江大学毕业论文 7 图5 电源电路图 2.2.2 震动发射电路设计 震动传感器采用 MEC 原装震动开关 SW-18015P 灵敏度高,对环境震动敏感,一般用来检 测周围环境的震动强度,由于没有响应的元件资料,通过本人对他的测试发现,当无震动的时 候电阻可以达到 3M 以上,属于高阻态,当有震动的时候可以达到几欧姆的电阻,对震动的灵 敏度高,电阻变化范围大是此传感器的优点。可以利用他的阻性得出电压信号,就可以利用电 压比较器来输出数字信号驱动发射电路发射信号。 R1 2.2k OUT 1 IN 2 AC 3 GND 45 6 7 V CC 8 LM393 C10 104 R18 2M Z D 传传传 传传 +5 10k GND R19 10k 9012 GND 图 6 震动模块电路图 LM393 主要特点如下: (1)工作电源电压范围宽,单电源、双电源均可工作,单电源:236V,双电源:±1±18V; (2)消耗电流小,Icc=0.8mA; (3)输入失调电压小,VIO=±2mV; (4)共模输入电压范围宽,Vic=0Vcc-1.5V; (5)输出与 TTL,DTL,MOS,CMOS 等兼容; (6)输出可以用开路集电极连接“或”门; 采用双列直插 8 脚塑料封装(DIP8)和微形的双列 8 脚塑料封装(SOP8) 。LM393 引脚图 及内部框图如下图所示: 黑龙江大学毕业论文 8 图 7 LM393 芯片图 LM393 是高增益,宽频带器件,象大多数比较器一样,如果输出端到输入端有寄生电容而产生 耦合,则 很容易产生振荡.这种现象仅仅出现在当比较器改变状态时,输出电压过渡的间隙.电源 加旁路滤波并不能解决这个问题,标准 PC 板的设计对减小输入输出寄生电容耦合是有助的.减 小输入电阻至小于 10K 将减小反馈信号,而且增加甚至很小的正反馈量(滞回 1.010mV)能导致快 速转换,使得不可能产生由于寄生电容引起的振荡.除非利用滞后,否则直接插入 IC 并在引脚上 加上电阻将引起输入输出在很短的转换周期内振荡,如果输入信号是脉冲波形,并且上升和下 降时间相当快,则滞回将不需要。 比较器的所有没有用的引脚必须接地. LM393 偏置网络确立了其静态电流与电源电压范围 2.030V 无关。 通常电源不需要加旁路电容,差分输入电压可以大于 Vcc 并不损坏器件.保护部 分必须能阻止输入电压向负端超过-0.3V。LM393 的输出部分是集电极开路,发射极接地的 NPN 输出晶体管,可以用多集电极输出提供或 OR ing 功能。输出负载电阻能衔接在可允许电源电压 范围内的任何电源电压上,不受 Vcc 端电压值的限制。此输出能作为一个简单的对地 SPS 开路 (当不用负载电阻没被运用),输出部分的陷电流被可能得到的驱动和器件的 值所限制.当达到 极限电流(16mA)时,输出晶体管将退出而且输出电压将很快上升。输出饱和电压被输出晶体管大 约 60ohm 的 SAT 限制。当负载电流很小时,输出晶体管的低失调电压(约 1.0mV)允许 输出箝 位在零电平。 2.2.3 发射电路设计 由于无线信号容易受外界环境影响,因此从系统的可靠性考虑,发射的控制信号采用编码 的方式进行传送,而且在同一区域内要同时使用多个系统而相互间又不影响,所以无线信号的 编码由 SC2262 集成电路完成,该电路具有 8 位地址信号和 4 位数据信号,不同的地址与数据的 组合,可以编制上万种编码,完全可以满足同一区域内互不影响地工作。发射芯片地址编码输 入有“1”、 “0”和“开路”三种状态,数据输入有“1”和“0”两种状态。由各地址、数据的不同接脚状 态决定,编码从输出端 Dout 输出,通过红外发射管发射出去。 Dout 输出的编码信号是调制在 38kHz 载波上的,OSC1、OSC2 外接的电阻决定载频频率,一 般电阻可在 430k820k 之间选择即可。 SC2262-IR 是 2262 系列用于红外遥控的专用芯片,它是一种 CMOS 工艺制造的低功耗低价 位通用编码电路,SC2262-IR 最多可有 12 位(A0-A11)三态地址端管脚(悬空,接高电平,接低电 平),任意组合可提供 531441 地址码,SC2262-IR 最多可有 6 位(D0-D5)数据端管脚,设定的地 址码和数据码从 17 脚串行输出,可用于遥控发射电路。 编码芯片 SC2262-IR 发出的编码信号由:地址码、数据码、同步码组成一个完整的码字, 当有按键按下时,SC2262-IR 得电工作,其第 17 脚输出经调制的串行数据信号。SC2262-IR 的 管脚图如图 1 所示,管脚说明如表 1 所示,性能参数如表 2 所示。 SC2262-IR 特点:CMOS 工艺制造,低功耗,外部元器件少,RC 振荡电阻,工作电压范围 黑龙江大学毕业论文 9 宽:2.615v ,数据最多可达 6 位,地址码最多可达 531441 种。应用范围:车辆防盗系统、家 庭防盗系统、遥控玩具、其他电器遥控。图 8 为 2262 引脚图,表 1 为引脚介绍。 图 8 管脚图 表 1 管脚说明 名称管脚说 明 A0-A111-8、10-13地址管脚,用于进行地址编码,可置为“0”, “1”, “f”(悬空)。 D0-D57-8、10-13数据输入端,有一个为“1”即有编码发出,内部下拉。 Vcc18电源正端() Vss9电源负端() TE14编码启动端,用于多数据的编码发射,低电平有效。 OSC116振荡电阻输入端,与 OSC2 所接电阻决定振荡频率。 OSC215振荡电阻振荡器输出端; Dout17编码输出端(正常时为低电平) SC2262 的电源端与发射模块的电源端受制于震动模块,一旦发现异常就会开启 SC2262 芯 片与发射模块的电源,一但 SC2262 芯片工作则会把已经固定的编码信号通过发射电路发送出去。 其原理图如图 9 所示。 黑龙江大学毕业论文 10 A0 1 A1 2 A2 3 A3 4 A4 5 A5 6 A6 7 A7 8 GND 9 D0 10 D1 11 D2 12 D3 13 T E 14 OSC1 15 OSC2 16 Dout 17 V CC 18 SC2262 R3 4.7M 4*10K AT AD V CC GND 传传传传 R1 2.2k GND OUT 1 IN 2 AC 3 GND 45 6 7 V CC 8 LM393 C10 104 R18 2M Z D 传传传 传传 +5 10k GND R19 10k 9012 GND GND 图9 编码与发射电路图 2.2.3 接收电路设计 接收电路的无线接收与解调部分采用的是现成的高频接收模块,可以简化设计工作,而且 可靠性较好,接收模块采用的是超再生接收,具体的解调过程为:当发射器发送 1 时,相应的发 射高频电路工作,接收部分就会相应地收到一个 315 M 的高频信号,使模块输出为 1,当发射 部分发送的是 0 时,发射高频部分停止工作,接收部分就输出为 0,这样就实现了无线信号的传 输。 经高频接收且解调出来的信号是编码集成电路 SC2262 编码后的串行信号,必须经相应的解 码电路解码才能还原出控制信号数据。SC2272 就担任了这个解码任务。SC2262 和 SC2272 是一 对专用的编、解码集成电路,当接收部分 SC2272 的 8 位地址数据与发射部分的 8 位地址数据相 同时,就会在 SC2272 的 17 脚输出一个高电平,表示解码成功,同时在 4 位数据位上输出相应 的数据信号,后续的输出控制电路就根据解码输出的数据位。 SC2272 的暂存功能是指当发射信号消失时,SC2272 的对应数据输出位即变为低电平。而 锁存功能是指,当发射信号消失时,SC2272 的数据输出端仍保持原来的状态,直到下次接收到 新的信号输入。为了能正确解调出调制的编码信号,接收端需加一级前置放大级,保证输入 SC2272 的信号幅度足够大。SC2272 各输出端通过各种接口即可控制相应的负载。电路图如图 10 所示。 黑龙江大学毕业论文 11 ANT A0 1 A1 2 A2 3 A3 4 A4 5 A5 6 A6 7 A7 8 GND 9 D0 10 D1 11 D2 12 D3 13 DIN 14 OSC1 15 OSC2 16 V T 17 V CC 18 SC2272M4 R17820K R19 10k R21 10k R18 2.2k R202.2k V CC V CC V CC 9013 V CC R22 2.2K R23 10K P1.2 P1.0 P1.1 GND DAT A DAT A V CC 传传传传 9013 9013 图10 数据解码与接收电路 2.2.4 控制、显示电路设计 由于控制、显示、报警电路都是围绕单片机进行,所以放在一起阐述。 STC89C51 为主要的中央处理系统,单片机是在集成电路芯片上集成了各种元件的微型计算 机,这些元件包括中央处理器 CPU、数据存储器 RAM、程序存储器 ROM、定时/计数器、中断 系统、时钟部件的集成和 I/O 接口电路。由于单片机具有体积小、价格低、可靠性高、开发应用 方便等特点,因此在现代电子技术和工业领域应用较为广泛,在智能仪表中单片机是应用最多、 最活跃的领域之一。在控制领域中,现如今人们更注意计算机的底成本、小体积、运行的可靠性 和控制的灵活性。在各类仪器、仪表中引入单片机,使仪器仪表智能化,提高测试的自动化程 度和精度,提高计算机的运算速度,简化仪器仪表的硬件结构,提高其性能价格比。 (一)STC89C51 主要功能、性能参数如下: (1)内置标准 51 内核,机器周期:增强型为 6 时钟,普通型为 12 时钟; (2)工作频率范围:040MHZ,相当于普通 8051 的 080MHZ; (3)STC89C51RC 对应 Flash 空间:4KB; (4)内部存储器(RAM):256B; (5)定时器计数器:3 个 16 位; (6)通用异步通信口(UART)1 个; (7)中断源:8 个; (8)有 ISP(在系统可编程)IAP(在应用可编程),无需专用编程器仿真器; (9)通用 IO 口:3236 个; 黑龙江大学毕业论文 12 (10)工作电压:3.85.5V; (11)外形封装:40 脚 PDIP、44 脚 PLCC 和 PQFP 等。 (二)STC89C51 单片机的引脚说明: VCC:供电电压。 GND:接地。 P0 口:P0 口为一个 8 位漏级开路双向 I/O 口,每脚可吸收 8TTL 门电流。当 P1 口的管脚 第一次写 1 时,被定义为高阻输入。P0 能够用于外部程序数据存储器,它可以被定义为数据/地 址的第八位。在 FIASH 编程时,P0 口作为原码输入口,当 FIASH 进行校验时,P0 输出原码, 此时 P0 外部必须被拉高。 P1 口:P1 口是一个内部提供上拉电阻的 8 位双向 I/O 口,P1 口缓冲器能接收输出 4TTL 门电流。P1 口管脚写入 1 后,被内部上拉为高,可用作输入,P1 口被外部下拉为低电平时,将 输出电流,这是由于内部上拉的缘故。在 FLASH 编程和校验时,P1 口作为第八位地址接收。 P2 口:P2 口为一个内部上拉电阻的 8 位双向 I/O 口,P2 口缓冲器可接收,输出 4 个 TTL 门电流,当 P2 口被写“1”时,其管脚被内部上拉电阻拉高,且作为输入。并因此作为输入时, P2 口的管脚被外部拉低,将输出电流。这是由于内部上拉的缘故。P2 口当用于外部程序存储器 或 16 位地址外部数据存储器进行存取时,P2 口输出地址的高八位。在给出地址“1”时,它利 用内部上拉优势,当对外部八位地址数据存储器进行读写时,P2 口输出其特殊功能寄存器的内 容。P2 口在 FLASH 编程和校验时接收高八位地址信号和控制信号。 P3 口:P3 口管脚是 8 个带内部上拉电阻的双向 I/O 口,可接收输出 4 个 TTL 门电流。当 P3 口写入“1”后,它们被内部上拉为高电平,并用作输入。作为输入,由于外部下拉为低电平, P3 口将输出电流(ILL)这是由于上拉的缘故。 P3.0 RXD(串行输入口) P3.1 TXD(串行输出口) P3.2 /INT0(外部中断 0) P3.3 /INT1(外部中断 1) P3.4 T0(记时器 0 外部输入) P3.5 T1(记时器 1 外部输入) P3.6 /WR(外部数据存储器写选通) P3.7 /RD(外部数据存储器读选通) P3 口同时为闪烁编程和编程校验接收一些控制信号。 I/O 口作为输入口时有两种工作方式,即所谓的读端口与读引脚。读端口时实际上并不从 外部读入数据,而是把端口锁存器的内容读入到内部总线,经过某种运算或变换后再写回到端 口锁存器。只有读端口时才真正地把外部的数据读入到内部总线。上面图中的两个三角形表示 的就是输入缓冲器 CPU 将根据不同的指令分别发出读端口或读引脚信号以完成不同的操作。这 是由硬件自动完成的,不需要我们操心,1 然后再实行读引脚操作,否则就可能读入出错,为什 么看上面的图,如果不对端口置 1 端口锁存器原来的状态有可能为 0Q 端为 0Q为 1 加到场效应 管栅极的信号为 1,该场效应管就导通对地呈现低阻抗,此时即使引脚上输入的信号为 1,也会 因端口的低阻抗而使信号变低使得外加的 1 信号读入后不一定是 1。若先执行置 1 操作,则可以 使场效应管截止引脚信号直接加到三态缓冲器中实现正确的读入,由于在输入操作时还必须附 加一个准备动作,所以这类 I/O 口被称为准双向口。STC89C51 的 P0/P1/P2/P3 口作为输入时都 是准双向口。接下来让我们再看另一个问题,从图中可以看出这四个端口还有一个差别,除了 P1 口外 P0P2P3 口都还有其他的功能。 RST:复位输入。当振荡器复位器件时,要保持 RST 脚两个机器周期的高电平时间。 ALE/PROG:当访问外部存储器时,地址锁存允许的输出电平用于锁存地址的地位字节。 黑龙江大学毕业论文 13 在 FLASH 编程期间,此引脚用于输入编程脉冲。在平时,ALE 端以不变的频率周期输出正脉 冲信号,此频率为振荡器频率的 1/6。因此它可用作对外部输出的脉冲或用于定时目的。然而要 注意的是:每当用作外部数据存储器时,将跳过一个 ALE 脉冲。如想禁止 ALE 的输出可在 SFR8EH 地址上置 0。此时, ALE 只有在执行 MOVX,MOVC 指令是 ALE 才起作用。另外, 该引脚被略微拉高。如果微处理器在外部执行状态 ALE 禁止,置位无效。 /PSEN:外部程序存储器的选通信号。在由外部程序存储器取指期间,每个机器周期两次 /PSEN 有效。但在访问外部数据存储器时,这两次有效的/PSEN 信号将不出现。 /EA/VPP:当/EA 保持低电平时,则在此期间外部程序存储器(0000H-FFFFH) ,不管是否 有内部程序存储器。注意加密方式 1 时,/EA 将内部锁定为 RESET;当/EA 端保持高电平时, 此间内部程序存储器。在 FLASH 编程期间,此引脚也用于施加 12V 编程电源(VPP) 。 XTAL1:反向振荡放大器的输入及内部时钟工作电路的输入。 XTAL2:来自反向振荡器的输出。 STC89C51 单片机的时钟信号通常有两种方式产生:一是内部时钟方式,二是外部时钟方式。 在 STC89C51 单片机内部有一振荡电路,只要在单片机的 XTAL1 和 XTAL2 引脚外接石英晶体 (简称晶振) ,就构成了自激振荡器并在单片机内部产生时钟脉冲信号。电容的作用是稳定频率 和快速起振,电容值在 5-30pF,典型值为 30pF。晶振 CYS 的振荡频率范围在 1.2-12MHz 间选 择,典型值为 12MHz 和 11.0592MHz。 当在 STC89C51 单片机的 RST 引脚引入高电平并保持 2 个机器周期时,单片机内部就执行 复位操作,按键手动复位有电平方式和脉冲方式两种。其中电平复位是通过 RST 端经过电阻与 电源 VCC 接通而实现的。最小系统如图 11 所示。 图11 单片机最小系统电路 显示电路是由一位共阳数码管显示,单片机控制数码管每段的高低电平,从而实现数码管 的显示。常用的七段显示器的结构如图下图所示。发光二极管的阳极连在一起的称为共阳极显 示器(如图 9 所示),阴极连在一起的称为共阴极显示器(如图 c 所示)。1 位显示器由八个发光二 极管组成,其中七个发光二极管 ag 控制七个笔画(段)的亮或暗,另一个控制一个小数点的 亮和暗,这种笔画式的七段显示器能显示的字符较少,字符的开头有些失真,但控制简单,使 用方便。 P1.0 1 P1.1 2 P1.2 3 P1.3 4 P1.4 5 P1.5 6 P1.6 7 P1.7 8 RST 9 P3.0(RXD) 10 P3.1(TXD ) 11 P3.2(INT0) 12 P3.3(INT1) 13 P3.4(T0) 14 P3.5(T1) 15 P3.6(WR) 16 P3.7(RD) 17 XTAL 2 18 XTAL 1 19 GND 20 (A8)P2.0 21 (A9)P2.1 22 (A10)P2.2 23 (A11)P2.3 24 (A12)P2.4 25 (A13)P2.5 26 (A14)P2.6 27 (A15)P2.7 28 PSEN 29 ALE /PROG 30 EA/VPP 31 (AD7)P0.7 32 (AD6)P0.6 33 (AD5)P0.5 34 (AD4)P0.4 35 (AD3)P0.3 36 (AD2)P0.2 37 (AD1)P0.1 38 (AD0)P0.0 39 VCC 40 89C52 Y1 11.0592MHz C2 30 C330 R7 10K VCC + C1 10uF 12 34 K0 RESET VCC 黑龙江大学毕业论文 14 此外,要画出电路图,首先还要搞清楚他的引脚图的分布,在了解了正确的引脚图后才能 进行正确的字型段码编码。才能显示出正确的数字来。 (a)外形 (b)共阳极 (C)共阴极 图 12 数码管引脚 数码管使用注意事项说明: (1)数码管表面不要用手触摸,不要用手去弄引角; (2)焊接温度:260 度;焊接时间:5S (3)表面有保护膜的产品,可以在使用前撕下来。 该设计有报警电路,布放状态下检测到人时,蜂鸣器就会发声提示,直到主人按下撤防, 才会停止鸣叫,控制引脚接在 P1.2 引脚上,利用三极管当做开关电路可以保护单片机,还可以 起到放大电流的作用,当三极管基极为高电平时,发射极截止,为低电平时,发射极导通。报 警模块如图 13 所示。 Q1 8550 传传传 VCC R4 1k P34 图 13 声音报警模块 黑龙江大学毕业论文 15 3.软件的设计 3.1 keil应用 Keil C51 是美国 Keil Software 公司出品的 51 系列兼容单片机 C 语言软件开发系统,与汇编 相比,C 语言在功能上、结构性、可读性、可维护性上有明显的优势,因而易学易用。用过汇 编语言后再使用 C 来开发,体会更加深刻。 Keil C51 软件提供丰富的库函数和功能强大的集 成开发调试工具,全 Windows 界面。另外重要的一点,只要看一下编译后生成的汇编代码,就 能体会到 Keil C51 生成的目标代码效率非常之高,多数语句生成的汇编代码很紧凑,容易理解。 在开发大型软件时更能体现高级语言的优势。下面详细介绍 Keil C51 开发系统各部分功能和使 用。 Keil_c 软件界面如图 14: 图 14 Keil_c 软件界面 该软件是一款集编程和仿真于一体的软件,它支持汇编、C 语言及二者的混合编程。 3.2 protel99se应用 Protel99SE 是 PORTEL 公司在 80 年代末推出的 EDA 软件。Protel99SE 是应用于 Windows9X/2000/NT 操作系统下的 EDA 设计软件,采用设计库管理模式,可以网设计,具有很 强的数据交换能力和开放性及 3D 模拟功能,是一个 32 位的设计软件,可以完成电路原理图设 计,印制电路板设计和可编程逻辑器件设计等工作,可以设计 32 个信号层,16 个电源-地层和 16 个机加工层。 Protel99SE 软件的特点: 黑龙江大学毕业论文 16 (1) 可生成 30 多种格式的电气连接网络表; (2) 强大的全局编辑功能; (3) 在原理图中选择一级器件, PCB 中同样的器件也将被选中; (4) 同时运行原理图和 PCB,在打开的原理图和 PCB 图间允许双向交叉查找元器件、 引脚、网络 (5) 既可以进行正向注释元器件标号(由原理图到PCB),也可以进行反向注释(由 PCB 到原理图),以保持电气原理图和PCB 在设计上的一致性; (6) 满足国际化设计要求(包括国标标题栏输出,GB4728 国标库); * 方便易用的 数模混合仿真(兼容 SPICE 3f5); (7) 支持用 CUPL 语言和原理图设计 PLD,生成标准的 JED 下载文件; * PCB 可设 计 32 个信号层, 16 个电源-地层和 16 个机加工层; (8) 强大的“规则驱动”设计环境,符合在线的和批处理的设计规则检查; (9) 智能覆铜功能,覆铀可以自动重铺; (10)提供大量的工业化标准电路板做为设计模版; (11) 放置汉字功能; (12) 可以输入和输出 DXF、DWG 格式文件,实现和 AutoCAD 等软件的数据交换; (13) 智能封装导航(对于建立复杂的PGA、BGA 封装很有用); (14) 方便的打印预览功能,不用修改PCB 文件就可以直接控制打印结果; 黑龙江大学毕业论文 17 图 15 protel99se 画图界面 3.3 程序流程图 否 否 是 是 布防程序 延时到否? 延时 按下撤防键? 启动报警电路 显示地址 报警地址查询 撤防信号? 布防程序 有 布防信号? 无 有无信号? 初始化 开始 是 否 是 否 黑龙江大学毕业论文 18 4.系统分析与调试 本设计是在 Keil C 环境下开发的,Keil C 软件支持 C 语言的编程及调试,运用方便,是做 C 语言毕业设计者的首选。设计的首要任务是安装和学习使用这个软件,在简单的学习和了解 Keil C 后,在编译完 Keil C 后,再运用 STC_ISP_V480 软件烧录到开发板上,实现实物与程序 的连接。在烧录前要对 STC_ISP_V480 进行一些必要的设置。第一步:设置 MCU Type 为 STC89C51RC;第二步:打开编写好并编译的程序文件,它是以.hex 为后缀的文件;第三步:选 择对应的 COM 端口, (可在我的电脑的设备管理处查看 COM 选项) ;第四步:点击 Download/ 下载,等提示 请给 MCU 上电时,打开开发板上的开关,它就自行烧录了。 Keil C 程序运行如图 4-1 所示,下载图如图 4-2 所示: 黑龙江大学毕业论文 19 图 15 keil C 运行图 图 16 程序烧录运行图 在完成对程序的调试及烧录之后,还要对功能进行测试,首先用万用表测试电源和地有没 有短路,然后再对设计进行通电。最开始要对主控板进行测试,因为它是核心,按下按键看下 数码管是否显示正常,当显示“b”会显示 30s,当“b”灭掉之后按下“sos”按键看系统会不 会报警,如果报警则系统基本正常,再按下“c”撤防。主控板调试好后再调试发射板,先将主 控板供电,按下布放后,紧接着要按下发射板的开关放在无震动的地方,当“b”灭掉之后,让 发射板感应震动,主控机会显示“一” 。 本次设计出现的问题及解决方法: 1、万用表检测是否短路时,发现有短路显现,经过排查,发现数码管接错,数码管的两个 “com”接口是相通的,都接电源或只接一个。我将两个分别接了电源和地,改正后,无短路现 象。 2、程序烧录不了,当用同学的电脑烧录时,可以烧录,最后发现是串口的 com 端口选择错 误,每个电脑的端口都不一样的。要用“串口调试助手”测试。 3、蜂鸣器一直在响,问题应该出现在驱动哪里。最后确定是三极管出了问题,设计中应该 用 pnp 型三极管结果误选了 npn 型的,换过之后可以正常报警。 4、布防后,震动模块感应到震动却不报警,经过排查,发现主控机与发射板要离的非常近 的时候才会报警,这根本不符合应用,最后通过与买模块的卖家沟通,才知道影响问题的可能 黑龙江大学毕业论文 20 是天线的长度,天线的长度会影响他的频率和发射距离,最后我选择的长一点的天线,一点一 点减掉他的长度,最终得出最合适的长度是 34.5cm,根本不是网上说的 21cm,得出:实践是检 验真理的唯一标准! 5、当布防灭掉之后就报警,震动感应模块根本没有检测到震动为什么会报警呢?通过电路 的平排除和查阅资料,最终确定是震动传感器常态时阻值很大,用小电阻分压不可行,事的 LM393 的 3 脚始终保持高电压,所以 LM393 的 1 脚一直有输出,将于震动传感器串联分压电阻 换成 2M 的就可以正常发射信号了。 黑龙江大学毕业论文 21 结 论 通过本次毕业设计,使我对所学的无线

    注意事项

    本文(多路无线防盗报警器毕业设计论文.doc)为本站会员(椰子壳)主动上传,三一文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三一文库(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    经营许可证编号:宁ICP备18001539号-1

    三一文库
    收起
    展开