欢迎来到三一文库! | 帮助中心 三一文库31doc.com 一个上传文档投稿赚钱的网站
三一文库
全部分类
  • 研究报告>
  • 工作总结>
  • 合同范本>
  • 心得体会>
  • 工作报告>
  • 党团相关>
  • 幼儿/小学教育>
  • 高等教育>
  • 经济/贸易/财会>
  • 建筑/环境>
  • 金融/证券>
  • 医学/心理学>
  • ImageVerifierCode 换一换
    首页 三一文库 > 资源分类 > DOC文档下载  

    毕业设计论文-数控直流稳压电源.doc

    • 资源ID:3947291       资源大小:1,019.50KB        全文页数:38页
    • 资源格式: DOC        下载积分:6
    快捷下载 游客一键下载
    会员登录下载
    微信登录下载
    三方登录下载: 微信开放平台登录 QQ登录   微博登录  
    二维码
    微信扫一扫登录
    下载资源需要6
    邮箱/手机:
    温馨提示:
    用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP免费专享
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    毕业设计论文-数控直流稳压电源.doc

    中文摘要 - 1 - 毕业设计 数控直流稳压电源 摘要 本设计以直流电压源为核心,AT89C51 单片机为主控制器,通过按键来设 置直流电源的输出电压,设置步进等级可达 0.1V,输出电压范围为 09.9V, 最大电流为 1000mA,并可由 LED 数码显示管显示实际输出电压值。系统有过流 保护电路,当输出电流过大时功率管自动截至。本设计由单片机程控输出数字 信号,经过 D/A 转换器(DAC0832)输出模拟量,再经过运算放大器隔离放大, 控制输出功率管的基极,随着功率管基极电压的变化而输出不同的电压。实际 测试结果表明,本系统实际应用于需要高稳定度小功率恒压源的领域。 关键词:数字控制关键词:数字控制; ;直流稳压电源直流稳压电源; ;单片机单片机 Abstract II Abstract This system to dc voltage source as the core, mainly AT89C51SCM, through the keyboard controller to install dc power supply output voltage, setting stepping class can reach.01v output voltage, the range of 0-9.9 V, the maximum current1000mA for, and can show the actual pipe by digital output voltage values. This system consists of microcontroller program output digital signal, through D/A converter (DAC0832) output analog amplifier, through isolating amplifier output power, control of base, with the power to change the passive tube voltage output of different voltage. Test results show that this system application in need of high stability of small power constant-voltage source fields. Keywords:Keywords: Digital control;Regular power supply of direct current;Single- chip microcomputer 目录 III 目录 摘要1 ABSTRACT . 目录 . 第一章 引言 1 1.1 设计背景和意义. 1 1.2 设计任务要求. 2 第二章 方案设计与论证 8 2.1 方案比较 .9 2.2 设计思想. 4 第三章 系统硬件设计5 3.1 系统硬件原理框图 .5 3.2 单片机最小系统 .5 3.2.1 单片机5 3.2.2 按键电路 8 3.2.3 时钟电路和复位电路 8 3.3 数模转换电路 .9 3.3.1 DAC0832 芯片 9 3.3.2 四运放放大器 LM324.11 3.3.3 数模转换电路 12 3.4 数字显示电路 . 12 3.5 放大与功率输出模块 . 14 3.6 直流稳压电源电路. 14 第四章 系统软件设计.16 4.1 程序流程图 16 4.2 源程序.17 第五章 系统仿真及调试 23 5.1 系统仿真 .23 5.2 仿真电压显示 . 24 5.3 系统调试 . 25 5.4 调试结果 . 26 目录 IV 总结.30 参考文献 31 致谢 32 附录.33 第三章 硬件设计 - 5 - 第一章 引言 1.1 设计背景和意义 直流稳压电源是电子技术常用的设备之一,广泛的应用于教学、科研等领 域。传统的多功能直流稳压电源功能简单、难控制、可靠性低、干扰大、精度 低且体积大、复杂度高。普通直流稳压电源品种很多, 但均存在以下二个问题: 1、稳压方式均是采用串联型稳压电路,对过载进行限流或截流型保护,电 路构成复杂,稳压精度也不高。 2、输出电压是通过粗调(波段开关) 及细调(电位器)来调节。这样, 当输 出电压需要精确输出,或需要在一个小范围内改变时(如 1. 05 1. 07V ) , 困难就较大。另外, 随着使用时间的增加,波段开关及电位器难免接触不良, 对输出会有影响。 在家用电器和其他各类电子设备中,通常都需要电压稳定的直流电源供电。 但在实际生活中,都是由 220V 的交流电网供电。这就需要通过变压、整流、 滤波、稳压电路将交流电转换成稳定的直流电。滤波器用于滤去整流输出电压 中的纹波,一般传统电路由滤波扼流圈和电容器组成,若由晶体管滤波器来替 代,则可缩小直流电源的体积,减轻其重量,且晶体管滤波直流电源不需直流 稳压器就能用作家用电器的电源,这既降低了家用电器的成本,又缩小了其体 积,使家用电器小型化。 传统的直流稳压电源通常采用电位器和波段开关来实现电压的调节,并由 电压表指示电压值的大小。因此,电压的调整精度不高,读数欠直观,电位器 也易磨损。而基于单片机控制的直流稳压电源能较好地解决以上传统稳压电源 的不足。 几乎所有的电子设备都需要稳定的直流电源,因此直流稳压电源的应用非 常的广泛。随着科学技术的不断发展,特别是计算机技术的突飞猛进,现代工 业应用的工控产品均需要有低纹波、宽调整范围的高压电源,而在一些高能物 理领域,更是急需电脑或单片机控制的低纹波、宽调整范围的电源。直流稳压 电源的电路形式有很多种,有串联型、开关型、集成电路、稳压管直流稳压电源 等等。在电子设备中,直流稳压电源的故障率是最高的(长期工作在大电流和 大电压下,电子元器件很容易损坏)但在直流稳压电源中,通过整流、滤波电路 第三章 硬件设计 - 6 - 所获得的直流电源的电压往往是不稳定的。输出电压在电网电压波动或负载电 流变化时也会随之有所改变。电子设备电源电压的不稳定,将会引起很多问题, 比如:测量仪器的准确度降低,交流放大器的噪声增大,直流放大器的零点漂 移等等。设计出质量优良的直流稳压电源,才能满足各种电子线路的要求。因 此,直流稳压电源的研究就颇为重要。目前产生直流稳压电源的方法大致分为两 种:一种是模拟方法,另一种是数字方法。前者的电路均采用模拟电路控制,而 后者则是通过数字电路进行自动控制。直流稳压电源朝着数字化方向发展。因 此对于数控恒压源的研究是必要的。从上世纪九十年代末起,随着对系统更高 效率和更低功耗的需求,电信与数据通讯设备的技术更新推动电源行业中直流/ 直流电源转换器向更高灵活性和智能化方向发展。在 80 年代的第一代分布式供 电系统开始转向到 20 世纪末更为先进的第四代分布式供电结构以及中间母线结 构,直流/直流电源行业正面临着新的挑战,即如何在现有系统加入嵌入式电源 智能系统和数字控制。早在 90 年代中,半导体生产商们就开发出了数控电源管 理技术,而在当时,这种方案的性价比与当时广泛使用的模拟控制方案相比处 与劣势,因而无法被广泛采用。由于板载电源管理的更广泛应用和行业能源节 约和运行最优化的关注,电源行业和半导体生产商们便开始共同开发这种名为 “数控电源”的新产品。现今随着直流电源技术的飞跃发展,整流系统由以前 的分立元件和集成电路控制发展为微机控制,从而使直流电源智能化,具有遥 测、遥信、遥控的三遥功能,基本实现了直流电源的无人值守。目前, 国外直 流稳压电源已朝着多功能和数字化的方向发展。M atthew 等提出了采用多路 DöA 分别设定多路输出电压,以及以多路 A öD 进行输出检测的微机数控电源。 随着科学技术飞速发展,对电源可靠性、输出精度和稳定性要求越来越高,利用 D/ A 转换器的高分辨率和单片机的自动检测技术设计程控电源就显示出其优越 性。程控电源既能方便输入和选择预设电压值又具有较高精度和稳定性,而且 还可程控实现对电源的可编程监控,如模拟电压跌落、间断或起伏等情况,即 可编程电源也可以看作一种功率型的低频信号发生器。程控电源可以任意设定 输出电压或电流,所有功能由板上的键盘或通过 RS-232C 串口连接的上位微机 实现,给电路实验带来极大的方便,提高了工作效率。 1.2 设计任务要求 输出电压:099V 步进可调,调整步距 01;V 输出电流:1000mA; 第三章 硬件设计 - 7 - 精 度:静态误差1%FSR,纹波10mV; 显 示:输出电压值用 LED 数码管显示; 电压调整:由“+”、“-”两键分别控制输出电压的步进增减; 输出电压预置:输出电压可预置在 099V 之间的任意一个值; 其 它:自制电路工作所需的直流稳压电源,输出电压为 ±12V,+5V; 第三章 硬件设计 - 8 - 第二章 方案设计与论证 2.1 方案设计 方案一:采用单片机的数控电压源的设计 采用常用的 AT89C51 单片机作为控制器,P0 口和 DAC8032 的数据口直接相 连,DA 的各个端口连接后接 P3.4,和接单片机的端,让 DA 工作在单缓冲方式 下。DA 的 8 脚接参考电压,DA 的基准电压接-10V 电源,所以在 DAC 的 8 脚输 出电压的分辨率为约等于 0.1V,也就是说 DA 输入数据端每增加 1,电压增加 0.1V。通过运放 LM324 将 DA 的输出电流转化为电压,再通过运放 LM324 将电压 反相并放大输出电压并稳压,最后通过示波器观察其波纹,其硬件框图如图 2.1 所示: 显示 图 2.1 方案一硬件框图 方案二:采用传统的调整管方案,主要特点在于使用一套双计数器完成系 统的控制功能,其中二进制计数器的输出经过 D/A 变换后去控制误差放大的基 准电压,以控制输出步进。十进制计数器通过译码后数码管显示输出电压值, 为了使系统工作正常,必须保证双十计数器同步工作。其硬件框图如图 2.2 所 示 输出 键盘 数码显示 单 片 机 电压输出D/A 转换 电压预置A/D 转换 整流滤波 译码显示 电 源 D/A 转换 误差放大 时钟控制 电压预置 二进制 计数器 十进制 计数器 过流保护调整管 步进加步进减 第三章 硬件设计 - 9 - 图 2.2 方案二硬件框图 方案三:采用调整管的十进制计数器的数控电压源的设计。此方案不同于 方案之二处在于使用一套十进制计数器,一方面完成电压的译码显示,另一方 面其作为 EPROM 的地址输入,而由 EPROM 的输出经 D/A 变换后控制误差放大的 基准电压来实现输出步进,只使用了一套计数器,回避了方案二中必须保证双 计数器同步的问题,但由于控制数据烧录在 EPROM 中,使系统设计灵活性降低。 其硬件框图如图 2.3 所示 输出 图 2.3 方案三硬件框图 2.2 方案比较 2.2.1 数控部分的比较 方案二、三中采用中、小规模器件实现系统的数控部分,使用的芯片很多, 造成控制电路内部接口信号繁琐,中间相互关联多,抗干扰能力差。在方案一 中采用了 AT89C51 单片机完成整个数控部分的功能,同时,AT89C51 作为一个 智能化的可编程器件,便于系统功能的扩展。 2.2.2 输出部分的比较 方案二、三中采用线性调压电源,以改变其基准电压的方式使输出步进增 加或减少,这不能不考虑整流滤波后的纹波对输出的影响,而方案一中使用运 算放大器放大电压,由于运算放大器具有很大的电源电压抑制化,可以大大减 少输出端的纹波电压。 步进加 D/A 转换 步进减 电压预置 译码显示 十进制 计数器 EPROM 误差放大 过流保护调整管整流滤波 第三章 硬件设计 - 10 - 2.2.3 显示部分的比较 方案二、三中的显示输出是对电压的量化值直接进行译码显示输出,显示 值为 D/A 变化输入量,由于 D/A 变换与功率驱动电路引入的误差,显示值与电 源实际输出值之间可能出现较大偏差,而方案一中采用三位一体的数码管直接 对电压值进行显示。 总之,方案一的优点是具有精度高,使用方便,硬件电路简单等特点,它 使用了单片机,使得进一步扩展功能较为方便;方案二、三的优点是电路结构 简单,其缺点是使用比较复杂,精度没有那么高。考虑到各种因素,本设计采 用方案一。 第三章 硬件设计 - 11 - 第三章 硬件设计 3.1 硬件原理框图 时钟电路、复位电路和按键电路组成单片机最小系统,时钟电路提供时钟 信号,复位电路可以实现复位功能,按键电路作为用户对波形和频率的选择。 单片机 AT89S51 输出所需波形和频率的二进制数据,经过数模转换器 DAC0832 将数字信号转换为模拟信号,经过 LM324 集成运放放大后输出所需的方波、三 角波、正弦波。电源电路为电路供电。 电压显示 按键控制 单 片 机 D/A 转换放大电路 电源 ±12v ±5v 功率输出 电压输出 图 3-1 硬件原理框图 3.2 单片机最小系统 3.2.1 单片机 AT89S51 是一种低功耗、高性能 CMOS8 位微控制器,具有 8K 在系统可编 程 Flash 存储器。AT89S51 具有以下标准功能: 8k 字节 Flash,256 字节 RAM, 32 位 I/O 口线,看门狗定时器,2 个数据指针,三个 16 位 定时器/计 数器,一个 6 向量 2 级中断结构,全双工串行口, 片内晶振及时钟电路。另外, AT89S51 可降至 0Hz 静态逻 辑操作,支持 2 种软件可选择节电模式。空闲模 式下,CPU 停止工作,允许 RAM、定时器/计数器、串口、中断继续工 作。掉 电保护方式下,RAM 内容被保存,振荡器被冻结, 单片机一切工作停止,直到 下一个中断或硬件复位为止。 本设计只需要 P1 和 P2 口只对其介绍: P1 口:P1 口是一个具有内部上拉电阻的 8 位双向 I/O 口,p1 输出缓冲 器能驱动 4 个 TTL 逻辑电平。对 P1 端口写“1”时,内部上拉电阻把端口拉 高,此时可以作为输入 口使用。作为输入使用时,被外部拉低的引脚由于内部 第三章 硬件设计 - 12 - 电阻的原因,将输出电流(IIL)。 此外,P1.0 和 P1.1 分别作定时器/计数器 2 的外部计数输入(P1.0/T2) 和定时器/计数器 2 的触发输入(P1.1/T2EX)。 在 flash 编程和校验时,P1 口接收低 8 位地址字节。 引脚号第二功能: P1.0 T2(定时器/计数器 T2 的外部计数输入),时钟输出 P1.1 T2EX(定时器/计数器 T2 的捕捉/重载触发信号和方向控制) P1.5 MOSI(在系统编程用) P1.6 MISO(在系统编程用) P1.7 SCK(在系统编程用) P2 口:P2 口是一个具有内部上拉电阻的 8 位双向 I/O 口,P2 输出缓冲 器能驱动 4 个 TTL 逻辑电平。对 P2 端口写“1”时,内部上拉电阻把端口拉 高,此时可以作为输入 口使用。作为输入使用时,被外部拉低的引脚由于内部 电阻的原因,将输出电流(IIL)。 在访问外部程序存储器或用 16 位地址读取 外部数据存储器(例如执行 MOVX DPTR) 时,P2 口送出高八位地址。在这种 应用中,P2 口使用很强的内部上拉发送 1。在使用 8 位地址(如 MOVX RI) 访问外部数据存储器时,P2 口输出 P2 锁存器的内容。 在 flash 编程和校验时, P2 口也接收高 8 位地址字节和一些控制信号。 RST:复位输入。当振荡器工作时,RST 引脚出现两个机器周期以上高电平 将是单片机复位。 ALE/PROG:当访问外部程序存储器或数据存储器时,ALE(地址锁存允许) 输出脉冲用于锁存地址的低 8 位字节。一般情况下,ALE 仍以时钟振荡频率的 1/6 输出固定的脉冲信号,因此它可对外输出时钟或用于定时目的。要注意的 是:每当访问外部数据存储器时将跳过一个 ALE 脉冲。对 FLASH 存储器编程期 间,该引脚还用于输入编程脉冲(PROG)。如有必要,可通过对特殊功能寄存 器(SFR)区中的 8EH 单元的 D0 位置位,可禁止 ALE 操作。该位置位后,只有 一条 MOVX 和 MOVC 指令才能将 ALE 激活。此外,该引脚会被微弱拉高,单片机 执行外部程序时,应设置 ALE 禁止位无效。 PSEN:程序储存允许(PSEN)输出是外部程序存储器的读选通信号,当 AT89S51 由外部程序存储器取指令(或数据)时,每个机器周期两次 PSEN 有效, 第三章 硬件设计 - 13 - 即输出两个脉冲,在此期间,当访问外部数据存储器,将跳过两次 PSEN 信号。 EA/VPP:外部访问允许,欲使 CPU 仅访问外部程序存储器(地址为 0000H- FFFFH),EA 端必须保持低电平(接地)。需注意的是:如果加密位 LB1 被编 程,复位时内部会锁存 EA 端状态。如 EA 端为高电平(接 Vcc 端),CPU 则执 行内部程序存储器的指令。FLASH 存储器编程时,该引脚加上+12V 的编程允许 电源 Vpp,当然这必须是该器件是使用 12V 编程电压 Vpp。 XTAL1:振荡器反相放大器和内部时钟发生电路的输入端。 XTAL2:振荡器反相放大器的输出端。 下图为 AT89S51 引脚图: 图 3-2 AT89S51 引脚图 3.2.2 时钟电路和复位 电路 时钟可以有内部方式产生或外部方式产生。此电路用内部方式产生,在 XTAL1 和 XTAL2 引脚上外接定时元件,内部振荡电路就会产生自激振荡。定时 元件通常采用石英晶体和电容组成的并联谐振回路。晶体的频率为 12MHz,电 容值为 30pF,电容大小可以对频率起微调作用。 第三章 硬件设计 - 14 - 在 RESET 输入端出现高电平时实现复位和初始化,所以我们采用开关复位 电路。电容采用 30pF 的,电阻采用 10K 的。 Proteus 模拟效果图如下: 图 3-4 时钟电路和复位电路 3.2.3 按键电路 按键通过改变单片机引脚高低电平而发挥它改变频率和波形的作用。在具 体电路中,高电平需要经过一个 10K 电阻接 5V 电源,此时开关为断开状态;低 电平状态需要开关闭合从而接地。输出电压的调节是通过“+,-” 两键操作, 步进电压精确到 0.1V 控制可逆计数器分别作加,减计数,可逆计数器的二进 制数字输出分两路运行:一路用于驱动数字显示电路,精确显示当前输出电压 第三章 硬件设计 - 15 - 值;另一路进入数模转换电路(D/A 转换电路),数模转换电路将数字量按比 例,转换成模拟电压,然后经过射极跟随器控制,调整输出级,输出稳定直流电 压。 开关“加”是电压“+”增大的,连接单片机的 P3.0 口即 10 引脚。 开关“减”是电压“-”减小的,连接单片机的 P3.1 口即 11 引脚。 Proteus 模拟效果图如下: 图 3-3 按键电路 3.3 数模转换电路 3.3.1 DAC0832 芯片 DAC0832 引脚功能电路应用原理图 DAC0832 是采样频率为八位的 D/A 转换 芯片,集成电路内有两级输入寄存器,使 DAC0832 芯片具备双缓冲、单缓冲和 直通三种输入方式,以便适于各种电路的需要(如要求多路 D/A 异步输入、同步 转换等)。若需要相应的模拟电压信号,可通过一个高输入阻抗的线性运算放大 器实现。运放的反馈电阻可通过 RFB 端引用片内固有电阻,也可外接。DAC0832 逻辑输入满足 TTL 电平,可直接与 TTL 电路或微机电路连接。 数模转换电路采用的是 DAC0832 芯片。 D0D7:8 位数据输入线,TTL 电平,有效时间应大于 90ns(否则锁存器的 数据会出错); 第三章 硬件设计 - 16 - ILE:数据锁存允许控制信号输入线,高电平有效; CS:片选信号输入线(选通数据锁存器),低电平有效; WR1:数据锁存器写选通输入线,负脉冲(脉宽应大于 500ns)有效。由 ILE、CS、WR1 的逻辑组合产生 LE1,当 LE1 为高电平时,数据锁存器状态随输 入数据线变换,LE1 的负跳变时将输入数据锁存; XFER:数据传输控制信号输入线,低电平有效,负脉冲(脉宽应大于 500ns)有效; WR2:DAC 寄存器选通输入线,负脉冲(脉宽应大于 500ns)有效。由 WR2、XFER 的逻辑组合产生 LE2,当 LE2 为高电平时,DAC 寄存器的输出随寄存 器的输入而变化,LE2 的负跳变时将数据锁存器的内容打入 DAC 寄存器并开始 D/A 转换。 IOUT1:电流输出端 1,其值随 DAC 寄存器的内容线性变化; IOUT2:电流输出端 2,其值与 IOUT1 值之和为一常数; Rfb:反馈信号输入线,改变 Rfb 端外接电阻值可调整转换满量程精度; Vcc:电源输入端,Vcc 的范围为+5V+15V; VREF:基准电压输入线,VREF 的范围为-10V+10V; AGND:模拟信号地 DGND:数字信号地 DAC0832 有如下 3 种工作方式: 缓冲方式。单缓冲方式是控制输入寄存器和 DAC 寄存器同时接收资料,或 者只用输入寄存器而把 DAC 寄存器接成直通方式。此方式适用只有一路模拟量 输出或几路模拟量异步输出的情形。 双缓冲方式。双缓冲方式是先使输入寄存器接收资料,再控制输入寄存器 的输出资料到 DAC 寄存器,即分两次锁存输入资料。此方式适用于多个 D/A 转 换同步输出的情节。 直通方式。直通方式是资料不经两级锁存器锁存,即 CS,XFER ,WR1 ,WR2 均接地,ILE 接高电平。此方式适用于连续反馈控制线路和不带微机的控 制系统,不过在使用时,必须通过另加 I/O 接口与 CPU 连接,以匹配 CPU 与 第三章 硬件设计 - 17 - D/A 转换。 本次设计采用直通方式。 下图为 DAC0832 引脚图: 图 3-5 DAC0832 引脚图 DAC0832 逻辑输入满足 TTL 电平,可直接与 TTL 电路或微机电路连接。 DAC0832 引脚功能说明: DI0DI7:数据输入线,TLL 电平。 数字信号输入端。 ILE:数据锁存允许控制信号输入线,高电平有效。 CS:片选信号输入线,低电平有效。 WR1:为输入寄存器的写选通信号。 写信号 1,低电平有效。 XFER:数据传送控制信号输入线,低电平有效。 ILE允许锁存信号。 WR2:为 DAC 寄存器写选通输入线。 写信号 2,低电平有效。 第三章 硬件设计 - 18 - Iout1:电流输出线。当输入全为 1 时 Iout1 最大。 Iout2: 电流输出线。其值与 Iout1 之和为一常数。 Rfb:反馈信号输入线,芯片内部有反馈电阻. Vcc:电源输入线 (+5v+12v) Vref:基准电压输入线 (-10v+10v) AGND:模拟地,摸拟信号和基准电源的参考地. DGND:数字地,两种地线在基准电源处共地比较好. 采用 ADC0809 实现 A/D 转换。 3.3.2 四运放放大器LM324 LM324 系列器件为价格便宜的带有真差动输入的四运算放大器。与单电源 应用场合的标准运算放大器相比,它们有一些显著优点。该四放大器可以工作 在低到 3.0 伏或者高到 32 伏的电源下,静态电流为 MC1741 的静态电流的五分 之一。共模输入范围包括负电源,因而消除了在许多应用场合中采用外部偏置 元件的必要性。每一组运算放大器可用图 1 所示的符号来表示,它有 5 个引出 脚,其中“+”、“-”为两个信号输入端,“V+”、“V-”为正、负电源端, “Vo”为输出端。两个信号输入端中,Vi-(-)为反相输入端,表示运放输出 端 Vo 的信号与该输入端的位相反;Vi+(+)为同相输入端,表示运放输出端 Vo 的信号与该输入端的相位相同。 本设计共用其中三个运算放大器,两个运算放大器用于双极性输出,一个 运算放大器用于调幅电路。 LM324 的引脚排列如下: 第三章 硬件设计 - 19 - 图 3-6 LM324 管脚图 3.3.3 数模转换电路 DAC0832 与第一级集成运放组成数模转换电路。 当 DAC0832 和第一级运算放大器组成单极性输出电路,单极性模拟输出 电压为 (3-1) REF n V D V 2 1 (3-1)中,为基准电压。由(3-1)式 0 01 2 2 1 1 2222DDDDD n n n n REF V 看出,如果为正,则为负;为负,则为正。单极性的模拟输出 REF V 1 V REF V 1 V 1 V 量。 Proteus 模拟效果图如下: 图 3-7 数模转换电路 3.4 数字显示电路 如图所示,74HC573 锁存器是驱动共阳数码管的译码驱动器。运行仿真,“ 拨动开关“数码管的显示结果会随之变化,电阻在实际应用电路中是一个较为有 用的器件。如果没有这只电阻,数码管极易受损坏。按动按键就能观察显示值 的变化并记录。 Proteus 模拟效果图如下: 第三章 硬件设计 - 20 - 图 3-8 数码管显示电路图 3.5 放大与功率输出模块的设计 此放大电路放大倍数为 2 倍,输出是很稳定的,不会因为温度升高而导致 输出电压 Vo 对输入电压产生变化的。跟后面的达林顿管相连使得输出电压误差 更小。 Proteus 模拟效果图如下: 第三章 硬件设计 - 21 - 图 3-9 放大与功率输出模块图 3.6 直流稳压电源电路 本设计采用+5V、±12v 直流稳压电源为单片机、数模转换器以及集成运放 供电。 经变压器降压后,通过四只 IN4007 整流二极管整流后,再经过 100uf 的 C6 滤波电容滤波后,由 LM7805 三端稳压器稳压后输出稳定的 5V。C6、C7 为 100uf、10uf 电容起到稳定的作用。三端稳压器的 3 端口输出的为 5V 电压。同 理,由 LM7805 输出稳定的±12v 电压。 Proteus 模拟效果图如下: 第三章 硬件设计 - 22 - 图 3-10 直流稳压电源电路图 第四章 软件设计 - 16 - 第四章 软件设计 4.1 程序流程图 本文中子程序的调用是通过按键选择来实现,在取得按键相应的高低电平 后,启动定时器和相应的中断服务程序,在直接查询程序中预先设置数据值, 通过转换出相应的电压,从而形成所需各种波形。 主程序流程图如下: 开始 系统初始化 读取电压 键盘扫描 是否 KEY2 按 下 是否 KEY3 按 下 调用显示处理子程序 调用显示处理子程序 Y Y N 电压显示+0.1v 电压显示-0.1vN 允许定时器中断显示 把电压数据送到 D/A 是否 KEY2 按 下 Y 复位程序 N 图 4-1 主程序流程图 第四章 软件设计 - 17 - 4.2 源程序 #include #include #define uchar unsigned char #define uint unsigned int #define DataPort P2 sbit LCM_RS=P15; sbit LCM_RW=P16; sbit LCM_EN=P17; sbit K1=P34; sbit K2=P32; sbit K3=P30; sfr P1ASF=0x9D; sfr ADC_CONTR = 0xbc; sfr ADC_RES = 0xbd; sfr ADC_RESL= 0xbe; void GET_AD_Result(); void AD_init( ); extern void WriteCommandLCM(uchar CMD,uchar Attribc); extern void InitLcd(); extern void DisplayoneChar(unsigned char X,unsigned char Y,unsigned char DData); extern void DisplayListChar(uchar X,uchar Y,uchar code *DData); unsigned char code dispcode=0x30,0x31,0x32,0x33,0x34,0x35,0x36,0x37,0x38,0x39; unsigned char dispbuf8=0,0,16,0,0,16,0,0; uchar AD_value,key,Vd=60; unsigned char i,j,temp8,temp9,temp10,temp11; float tt=0.0; uchar tt1=0,tt2=0,tt3=0,m=0; uchar code str0=“by 20111018“; /uchar code str1=“beyond“; void delay5ms() unsigned int i=5552; 第四章 软件设计 - 18 - while(i-); void delay400ms() unsigned char jj=5; unsigned int jjj; while(jj-); jjj=7269;while(jjj-); void delay(unsigned int k) unsigned int i,j; for(i=0;i=186 P0=Vd; while(K1=0); elseif(K2=0) delay5ms(); if(K2=0) Vd=Vd-1; if(Vd=0) Vd=60; P0=Vd; while(K2=0); elseif(K3=0) delay5ms(); if(K3=0) Vd=60; /if(Vd=0) /Vd=60; P0=Vd; while(K3=0); 第四章 软件设计 - 22 - void main(void) InitLcd(); while(1) key1(); P0=Vd; tt=(Vd*12.0)/120.0; m=Vd*12/120; tt1=m/10; tt2=m%10; dispbuf8=tt1; dispbuf10=tt2; tt3=(tt-m)*10; dispbuf11=tt3%10; temp8=dispcodedispbuf8; temp10=dispcodedispbuf10; temp11=dispcodedispbuf11; DisplayListChar(0,0,str0); delay5ms(); DisplayoneChar(0,1,0x55);delay5ms(); DisplayoneChar(1,1,0x3d);delay5ms(); DisplayoneChar(2,1,temp8); delay5ms(); DisplayoneChar(3,1,temp10);delay5ms(); DisplayoneChar(4,1,0x2e);delay5ms(); DisplayoneChar(5,1,temp11);delay5ms(); delay(5000); delay5ms(); delay400ms(); 第五章 系统仿真及调试 - 23 - 第五章 系统仿真及调试 5.1 系统仿真 图 5-1 仿真总图 第五章 系统仿真及调试 - 24 - 将 proteus 仿真软件安装完成。打开软件后,新建文件并保存。在元件列 表中,单击要放置的元件,再在编辑窗口中单击就放置了一个元件,也可以在 按下左键的同时,移动鼠标,再适合的位置释放。如果需要修改元件的名称、 参数和符号,只需双击元件对对应项目进行修改就可以了。如果需要调整方向, 只需右键选择旋转的角度即可。如果需要删除元件,只需选中后点键盘上的 Delete 键删除。根据上面的方法,在元件库中把所需的元件都找出来按照仿真 总图连接起来。由于原理图中的单片机仅是硬件,需要相应的软件配合才能完 成相应的功能。所以需要双击单片机浏览到编译好的 HEX 文件,将其调入,然 后点击“OK”即可。最后,点击 proteus 编辑器界面左下角的运行键即可,如 果想在 proteus 中调试软件,只需点击 Debug 中对应的器件名称即可。我需要 观察波形,只需点击 Debug 中的 Digital Oscilloscope 观察波形。调节按键 改变波形和频率。 5.2 仿真电压显示 (1)通过按动“KEY2”“KEY3”两开关,来改变输入电流的大小。从而改变 数码管显示数值。 如图: 图 5-2 仿真运行开始画面 (2)只有 KEY2 开关闭合时,数码管示数是一直变动的,如图: 第五章 系统仿真及调试 - 25 - 图 5-3 两开关仿真状态 只有 KEY2 闭合:数码管示数是一直以 0.1v 的步进递增连续跳动的,范围 在 09.9v 之间循环。 图 5-4 仿真运行开始画面 只有 KEY3 闭合:数码管示数是一直以 0.1v 的步进递减连续跳动的,范围 在 9.90v 之间循环。 图 5-5 仿真运行画面 第五章 系统仿真及调试 - 26 - 5.3 系统调试 首先,用万用表检查所有元器件的好坏和参数值是否满足要求。根据电路 图先将元器件摆在万用板上,预览一下布线情况。检查完毕后进行焊接,焊接 时,要保证焊点的饱满且不要虚焊,保持板子清洁。同时也要注意安全,避免 烫伤。 焊接完成后,仔细检查是否有短路的地方和断路的地方,确保每个引 脚的焊点牢固,电源和地连接正确。将以调试成功的数控直流稳压电源程序通 过 ISPF 载线

    注意事项

    本文(毕业设计论文-数控直流稳压电源.doc)为本站会员(来看看)主动上传,三一文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三一文库(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    经营许可证编号:宁ICP备18001539号-1

    三一文库
    收起
    展开