欢迎来到三一文库! | 帮助中心 三一文库31doc.com 一个上传文档投稿赚钱的网站
三一文库
全部分类
  • 研究报告>
  • 工作总结>
  • 合同范本>
  • 心得体会>
  • 工作报告>
  • 党团相关>
  • 幼儿/小学教育>
  • 高等教育>
  • 经济/贸易/财会>
  • 建筑/环境>
  • 金融/证券>
  • 医学/心理学>
  • ImageVerifierCode 换一换
    首页 三一文库 > 资源分类 > DOC文档下载  

    EDA技术及应用试卷D含答案.doc

    • 资源ID:8882418       资源大小:38KB        全文页数:6页
    • 资源格式: DOC        下载积分:4
    快捷下载 游客一键下载
    会员登录下载
    微信登录下载
    三方登录下载: 微信开放平台登录 QQ登录   微博登录  
    二维码
    微信扫一扫登录
    下载资源需要4
    邮箱/手机:
    温馨提示:
    用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP免费专享
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    EDA技术及应用试卷D含答案.doc

    EDA技术与应用 试卷D一、填空题(每题2分,共0分)1、 赋值语句中,为变量赋值的符号是( ),为信号赋值的符号是( )。2、 在VHDL中,BIT数据类型有( )种 逻辑值。3、 VHDL的标示符名必须以( ),后跟若干字母、数字或单个下划线组成,但最后不能为( )。4、 VHDL程序设计中常用的库有( )库、STD库、WORK库。5、 用VHDL语言描述时钟脉冲CLK的上升沿时可写为( )。二、 选择题(每题2分,共10分)1、 VHDL常用的库是( )标准库。 A、IEEE B、STD C、WORK D、PACKAGE2、 VHDL的实体声明部分用来指定设计单元的( )。 A、 输入端口 B、输出端口 C、引脚 D、以上均可3、 在VHDL的端口声明语句中,用( )声明端口为输入方向。 A、IN B、OUT C、INOUT D、BUFFER4、 一个设计实体可以拥有一个或多个( )。 A、 设计实体 B、结构体 C、库 D 实体名5、 在VHDL中,定义信号名时,可以用( )符号为信号赋初值。 A=: B、= C、:= D、<=三、程序分析(每题10分,共40分)要求:(1) 将标有下划线语句补充完整(3分)(2) 解释后带*的语句(3分)(3) 说明该程序逻辑功能(4分) 1、程序1 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; * ENTITY encoder IS PORT(d:IN ; y:OUT STD_LOGIC_VECTOR(2 DOWNTO 0); * END encoder; ARCHITECTURE beh OF encoder IS BEGIN IF d(7)=0 THEN y<=”000”; * ELSIF d(6)=0 THEN y<=”001”; ELSIF d(5)=0 THEN y<=”010”; ELSIF d(4)=0 THEN y<=”011”; ELSIF d(3)=0 THEN y<=”100”; ELSIF d(2)=0 THEN y<=”101”; ELSIF d(1)=0 THEN y<=”110”; ELSIF d(0)=0 THEN y<=”111”; END IF; End process; END beh;2、程序2LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY ISPORT( d,clk,clrn,prn,ena:in std_logic; q: );END d4;ARCHITECTURE a OF d4 IS PROCESS(clk,prn,clrn,ena,d) BEGIN IF prn=0THEN q<=1; * ELSIF clrn=0 THEN q<=0; * ELSIF clkevent AND clk=1 THEN * IF ena=1 then q<=d; END IF; END IF; END PROCESS;END a;3、程序3 Library ieee; Use ieee.std_logic_1164.all; Use ieee.std_logic_unsigned.all; Entity subadd is Port(c:in std_logic; A,b:in std_logic_vector(3 downto 0); S:out std_logic_vector(3 downto 0); Co:out std_logic); ; Architecture a of subadd is Signal a1,a2,a3:std_logic_vector(4 downto 0); Begin Process Begin A1<=0&a; * A2<=0&b; If c=1 then A3<=a1+a2; * Else A3<=a1-a2; * End if; ; ; End process;End a;4、程序4 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY mux41 IS PORT(s1,s2: IN STD_LOGIC; * a,b,c,d: IN STD_LOGIC; z: OUT STD_LOGIC;); END ENTITY mux41; ARCHITECTURE activ OF mux41 IS SIGNAL s: ; BEGIN ; PROCESS(s,a,b,c,d) BEGIN CASE s IS WHEN “00”=>z<=a; WHEN “01”=>z<=b; WHEN “10”=>z<=c; WHEN “11”=>z<=d; WHEN OTHERS =>z<=X; * ; END PROCESS; * END activ;四、用完整的VHDL源程序设计一个二输入同或门,相关的标识自定。(20分)五、简答题(20分)1、一个最简单的VHDL语言由哪几部分组成?简述各部分的主要功能。2、简述信号和变量的区别。EDA技术与应用 试卷D答案一、填空题(每题2分,共0分)5、 赋值语句中,为变量赋值的符号是( :=),为信号赋值的符号是(<=)。6、 在VHDL中,BIT数据类型有(两)种 逻辑值。7、 VHDL的标示符名必须以(字母开头),后跟若干字母、数字或单个下划线组成,但最后不能为(下划线)。8、 VHDL程序设计中常用的库有( IEEE)库、STD库、WORK库。9、 用VHDL语言描述时钟脉冲CLK的上升沿时可写为( )。三、 选择题(每题2分,共10分)1、 VHDL常用的库是( A )标准库。 A、IEEE B、STD C、WORK D、PACKAGE2、 VHDL的实体声明部分用来指定设计单元的(D) A、 输入端口 B、输出端口 C、引脚 D、以上均可3、 在VHDL的端口声明语句中,用(A)声明端口为输入方向。 A、IN B、OUT C、INOUT D、BUFFER4、 一个设计实体可以拥有一个或多个(B) A、 设计实体 B、结构体 C、库 D 实体名5、 在VHDL中,定义信号名时,可以用(C)符号为信号赋初值。 A=: B、= C、:= D、<=三、程序分析(每题10分,共40分)要求:(4) 将标有下划线语句补充完整(3分)(5) 解释后带*的语句(3分)(6) 说明该程序逻辑功能(4分) 1、程序1 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; * ENTITY encoder IS PORT(d:IN STD_LOGIC_VECTOR(7 DOWNTO 0); y:OUT STD_LOGIC_VECTOR(2 DOWNTO 0); * END encoder; ARCHITECTURE beh OF encoder IS BEGIN Process(d)begin IF d(7)=0 THEN y<=”000”; * ELSIF d(6)=0 THEN y<=”001”; ELSIF d(5)=0 THEN y<=”010”; ELSIF d(4)=0 THEN y<=”011”; ELSIF d(3)=0 THEN y<=”100”; ELSIF d(2)=0 THEN y<=”101”; ELSIF d(1)=0 THEN y<=”110”; ELSIF d(0)=0 THEN y<=”111”; END IF; End process; END beh;2、程序2LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY d4 ISPORT( d,clk,clrn,prn,ena:in std_logic; q: out STD_LOGIC );END d4;ARCHITECTURE a OF d4 ISBEGINPROCESS(clk,prn,clrn,ena,d) BEGIN IF prn=0THEN q<=1; * ELSIF clrn=0 THEN q<=0; * ELSIF clkevent AND clk=1 THEN * IF ena=1 then q<=d; END IF; END IF; END PROCESS;END a;3、程序3Library ieee; Use ieee.std_logic_1164.all; Use ieee.std_logic_unsigned.all; Entity subadd is Port(c:in std_logic; A,b:in std_logic_vector(3 downto 0); S:out std_logic_vector(3 downto 0); Co:out std_logic); End subadd; Architecture a of subadd is Signal a1,a2,a3:std_logic_vector(4 downto 0); Begin Process Begin A1<=0&a; * A2<=0&b; If c=1 then A3<=a1+a2; * Else A3<=a1-a2; * End if; S<=a3(3 downto 0); Co<=a3(4); End process;End a;4、程序4 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY mux41 IS PORT(s1,s2: IN STD_LOGIC; * a,b,c,d: IN STD_LOGIC; z: OUT STD_LOGIC;); END ENTITY mux41; ARCHITECTURE activ OF mux41 IS SIGNAL s: STD_LOGIC_VECTOR(1 DOWNTO 0); BEGIN s<=s1 & s2; PROCESS(s,a,b,c,d) BEGIN CASE s IS WHEN “00”=>z<=a; WHEN “01”=>z<=b; WHEN “10”=>z<=c; WHEN “11”=>z<=d; WHEN OTHERS =>z<=X; * END CASE; END PROCESS; * END activ;四、用完整的VHDL源程序设计一个二输入同或门,相关的标识自定。(20分)五、简答题(20分)1、一个最简单的VHDL语言由哪几部分组成?简述各部分的主要功能。2、简述信号和变量的区别。

    注意事项

    本文(EDA技术及应用试卷D含答案.doc)为本站会员(罗晋)主动上传,三一文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三一文库(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    经营许可证编号:宁ICP备18001539号-1

    三一文库
    收起
    展开