欢迎来到三一文库! | 帮助中心 三一文库31doc.com 一个上传文档投稿赚钱的网站
三一文库
全部分类
  • 研究报告>
  • 工作总结>
  • 合同范本>
  • 心得体会>
  • 工作报告>
  • 党团相关>
  • 幼儿/小学教育>
  • 高等教育>
  • 经济/贸易/财会>
  • 建筑/环境>
  • 金融/证券>
  • 医学/心理学>
  • ImageVerifierCode 换一换
    首页 三一文库 > 资源分类 > DOCX文档下载  

    西工大数电实验 彩灯控制电路.docx

    • 资源ID:9908434       资源大小:288.57KB        全文页数:9页
    • 资源格式: DOCX        下载积分:4
    快捷下载 游客一键下载
    会员登录下载
    微信登录下载
    三方登录下载: 微信开放平台登录 QQ登录   微博登录  
    二维码
    微信扫一扫登录
    下载资源需要4
    邮箱/手机:
    温馨提示:
    用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP免费专享
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    西工大数电实验 彩灯控制电路.docx

    实验四 彩灯控制电路一、实验目的: 1、熟悉Quartus II界面,掌握软件的基本操作 2、掌握使用硬件描述语言编辑的方式完成电路的设计与功能的仿真 3、完成实验要求电路二、实验设备:Quartus II 软件、DE0开发板三、实验原理: 1、Quartus II硬件描述语言设计 Quartus II中可以使用VHDL和Verilog HDL进行硬件的描述,然后生成相应的元件,在库文件中可以调用。 2、DE0开发板基本使用2.1 开关 开发板DE0提供了 10个拨动开关,分别以SW0到SW9标注。它们分别直接连接到Cyclone III FPGA芯片上。开关拨至上方对应的FPGA输入为高电平(3.3V);当开关拨至下方对应的FPGA输入为低电平( 0V)。2.2 LED灯板上提供了 10个用户可控的发光二极管,分别以LDE0到LED9标注。它们分别连接到Cyclone III FPGA芯片上。当FPGA对应输出端口为高电平时,点亮相应的发光二极管。发光二极管的引脚分配如图显示。2.3 7段数码管 板上提供了4个7段共阳极数码管,分别以HEX0到HEX3标注。它们如下图所示方式连接到FPGA芯片上。当FPGA对应输出端口为低电平时,点亮数码管相应的段;当FPGA对应输出端口为高电平时,熄灭数码管相应的段。数码管的各段位置索引如下所示。4个7段共阳极数码管的各段引脚与FPGA引脚引脚分配如下所示。三、实验内容:1、彩灯控制电路要求控制4个彩灯 ;2、两个控制信号:K1K0= 00 灯全灭 01 右移,循环显示 10 左移,循环显示 11 灯全亮3、在FPGA七段数码管上按1HZ的频率依次显示该组成员每人学号后四位四、实验结果1、源代码:library ieee;use ieee.std_logic_1164.all;entity ledcontrol isport(clk:in std_logic; keyin:in std_logic_vector(1 downto 0); ledout:out bit_vector(3 downto 0); ledseg:out bit_vector(0 to 6);end ledcontrol;architecture fwm of ledcontrol issignal tmpstate :bit_vector(3 downto 0):="0001"beginprocess(clk)variable counter :integer:=0;variable counter1:integer range 0 to 7:=0;variable counter2:integer:=0;beginif(clkevent and clk=1) thencounter:=counter+1;if(counter=24999999) then -0.5s=50M/2-1=25000000-1=24999999;counter:=0;case keyin iswhen "00"=>tmpstate<="1111"when "01"=>if(tmpstate="1111" or tmpstate="0000") then tmpstate<="0001" elsetmpstate<=tmpstate rol 1; end if;when "10"=>if(tmpstate="1111" or tmpstate="0000") thentmpstate<="1000" elsetmpstate<=tmpstate ror 1; end if;when others=>tmpstate<="0000"end case;-tmpstate<=tmpstate rol 1;end if;if(counter2=50000000) thencounter2:=0;if(counter1=8) thencounter1:=0;elsecounter1:=counter1+1;end if;elsecounter2:=counter2+1;end if;case counter1 iswhen 0=>ledseg<="1111001"when 1=>ledseg<="0000000"when 2=>ledseg<="1111000"when 3=>ledseg<="0110000"when 4=>ledseg<="1111001"when 5=>ledseg<="0000000"when 6=>ledseg<="0000000"when 7=>ledseg<="0010010"when others =>ledseg<=(others=>0);end case;end if;ledout<=tmpstate;end process;end fwm 2、对该代码进行编译:3、仿真得到的波形如下图所示:4、对实物引脚进行设定5、下载到DE0开发板上进行验证:验证结果与实验预期设计相符。

    注意事项

    本文(西工大数电实验 彩灯控制电路.docx)为本站会员(啊飒飒)主动上传,三一文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三一文库(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    经营许可证编号:宁ICP备18001539号-1

    三一文库
    收起
    展开