EDA技术与应用实验试题.docx
《EDA技术与应用实验试题.docx》由会员分享,可在线阅读,更多相关《EDA技术与应用实验试题.docx(5页珍藏版)》请在三一文库上搜索。
1、读书破万卷下笔如有神湖南科技学院计算机与通信工程系20XX年ED破术与应用实验试题实验项目设计含有异步清零和计算使能的16位二进制减法计算器适用年级10级适用专业通信工程时间20XX年上学期地点E504姓名曾毅学号201008002128班级通信1001班实验要求1、简述实验原埋;2、说明实验内容;3、写出实验步骤4、给出实验结果;5、对实验结果进行分析与总结.答题区1、实验原埋en是计算使能控制输入端,当en=0时,电路不能工作。异步清零减法计 数器中时钟变量是clk ,当上升沿到来时有效,上升沿是有效边沿。当clr的上升沿到来时,计数器被清 0; clr无效时,当时钟clk的上升沿到来后,
2、 计数器的状态将减1。2、实验内容二进制减法器的VHD嘀程序example_9.vhd如下LIBRARY ieee;USE ieee.std_logic_1164.all;USE ieee.std_logic_unsigned.all;USE ieee.std_logic_arith.all;ENTITY example_9 ISport(clk : IN STD_LOGIC;clr,en : in std_logic;cnt : OUT std_logic_vector(15 downto 0);END ;ARCHITECTURE hdlarch OFexample_9 ISsignal c
3、nttmp : std_logic_vector(15 downto 0);BEGINprocess(clk,clr)beginif clr = 1 then cnttmp 0);elsif(rising_edge(clk) thenif en = 1 then cnttmp = cnttmp1;end if;end if;end process;cnt elkInputPIN_233*&InputPIN_234cnt15OutputPIN J 36妙OutputPIN35OutputPIN J 31cnt12OutputPINJ330cntEl 1OutputPIN_l22cntiOOutp
- 配套讲稿:
如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。
- 特殊限制:
部分文档作品中含有的国旗、国徽等图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。
- 关 键 词:
- EDA 技术 应用 实验 试题
链接地址:https://www.31doc.com/p-11698184.html