EDA技术及应用试卷C含答案.doc
《EDA技术及应用试卷C含答案.doc》由会员分享,可在线阅读,更多相关《EDA技术及应用试卷C含答案.doc(7页珍藏版)》请在三一文库上搜索。
1、EDA技术与应用 试卷C一、 填空题(每题2分,共0分)1、 在VHDL中最常用的库是( )标准库,最常用的数据包是( )数据包。2、 VHDL的实体声明部分指定了设计单元的( ),它是设计实体对外的一个通信界面,是外界可以看到的部分。3、 在VHDL的端口声明语句中,端口方向包括( )、( )、( )和( )。4、 在用VHDL语言设计电路时,一般要求文件名与( )名一致,后缀是( )。5、 在VHDL中,标准逻辑位数据有( )种逻辑值。二、 选择题(每题2分,共10分)1、 在VHDL的端口声明语句中,用( )声明端口为输出方向。A、 IN B、OUT C、INOUT D、BUFFER2、
2、 在VHDL中,( )不能将信息带出对它定义的当前设计单元。A、信号 B、常量 C、 数据 D、变量 3、 在VHDL中,( )的数据传输不是立即发生的,目标信号 的赋值需要一定的延时时间。A、 信号 B、常量 C、数据 D、变量 4、 在VHDL中,为目标变量赋值的符号是( )。 A=: B、= C、:= D、dddd=a(3);end case;end process;end a; 2、程序2 Library ieee; Use ieee.std_logic_1164.all; Entity multi3 is Port(a,b:in std_logic_vector(2 downto 0
3、); y: ); end ; architecture a of multi3 is signal temp1:std_logic_vector(2 downto 0); signal temp2:std_logic_vector(3 downto 0); signal temp3:std_logic_vector(4 downto 0); * begin temp1=a when b(0)=1 else “000”; * temp2=(a&0) when b(1)=1 else “0000”; temp3=(a&“00”) when b(2)=1 else “00000”; yyy ; Wh
4、en 3 =yyyyyyyy=0000000; End case; End process; a=y(0); b=y(1); c=y(2); d=y(3); e=y(4); f=y(5); g=y(6);End a;4、程序4LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY jk4 IS PORT(J, K:IN STD_LOGIC; clk: ; prn, clr: IN STD_LOGIC; q,qb:OUT STD_LOGIC);END jk4;ARCHITECTURE a OF jk4 IS qtmp, qbtmp: ; BEGIN PRO
5、CESS(clk, prn, clr, j, k) BEGIN IF prn=0 THEN qtmp=1; qbtmp=0; * ELSIF clkevent AND clk=1tHEN IF clr=0 THEN qtmp=0; qbtmp=1; ELSIF j=0 AND k=0 THEN NULL; ELSIF j=0 AND k=1 THEN qtmp=0; qbtmp=1; ELSIF j=1 AND k=0 THEN qtmp=1; qbtmp=0; ELSE qtmp=NOT qtmp; qbtmp=NOT qbtmp; * END IF; END IF;q=qtmp; qbB1
- 配套讲稿:
如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。
- 特殊限制:
部分文档作品中含有的国旗、国徽等图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。
- 关 键 词:
- EDA 技术 应用 试卷 答案
链接地址:https://www.31doc.com/p-8882286.html