EDA实验4消抖电路的顶层设计和移位寄存器的功能仿真.doc
《EDA实验4消抖电路的顶层设计和移位寄存器的功能仿真.doc》由会员分享,可在线阅读,更多相关《EDA实验4消抖电路的顶层设计和移位寄存器的功能仿真.doc(10页珍藏版)》请在三一文库上搜索。
1、EDA实验四 消抖电路的顶层设计和移位寄存器的功能仿真一、实验目的:了解消抖电路的原理与应用并用VHDL顶层代码实现;了解触发器的基本原理,并且掌握LPM元件定制;掌握基本时序电路VHDL编写;了解移位寄存器的工作原理与应用;熟悉波形仿真基本方法;熟悉相关LPM元件定制;掌握VHDL元件例化方法;了解混合模块的工程设计方法。二、实验内容:实验内容分为两部分,一是消抖电路的设计与实现,其中底层用DFF:LPM_FF定制,顶层用VHDL结构式描述;另外一个内容是74194(移位寄存器)功能仿真,了解具体的仿真方法与步骤。三、实验方法:实验方法:采用基于FPGA进行数字逻辑电路设计的方法。采用的软件
2、工具是QuartusII软件仿真平台,采用的硬件平台是Altera EPF10K20TI144_4的FPGA试验箱。实验步骤:1、编写源代码(或者绘制电路逻辑图)。打开QuartusII软件平台,点击File中的New建立一个文件。编写的文件名与实体名一致,点击File/Save as以“.vhd”为扩展名存盘文件。2、按照实验箱上FPGA的芯片名更改编程芯片的设置。操作是点击Assign/Device,选取芯片的类型。3、编译与调试。确定源代码文件为当前工程文件,点击Complier进行文件编译。编译结果有错误或警告,则将要调试修改直至文件编译成功。4、波形仿真及验证。在编译成功后,点击Wa
3、veform开始设计波形。点击“insert the node”,按照程序所述引脚,任意设置各输入节点的输入波形点击保存按钮保存。5、FPGA芯片编程及验证,应记录实验结果进行分析。四、实验过程:实验(一)消抖电路的设计与实现a)利用LPM元件定制D触发器,具体步骤如下:(1)按照上次实验定制LPM的步骤和方法进行定制,lpm_ff元件所示的宏功能选择对话框的左侧列表中选择Installed Plug_Ins-Storage-lpm_ff项。(2)在参数设置页面1中,输入data选用1位,clock为时钟信号,类型为D型。(3)在参数设置页面2中添加异步清零和异步置1.然后按finish完成,
4、然后找出定制文件夹中的VHDL文件,名称为lpm_D.vhd。至此D触发器定制完成,然后设计消抖电路。b)消抖电路的设计,步骤如下:(1)新建一个工程文件,工程名和文件名均为xiaodou,然后按照实验箱上FPGA的芯片名更改芯片的设置,选取芯片类型,建好工程。设置如下图所示:(2)工程建好后,然后将步骤a中定制的lpm_D.vhd文件复制到xiaodou文件夹中,即是放置底层文件。(3)新建文件,编写VHDL源代码,用结构式描述完成消抖电路的顶层设计,然后保存编译,并进行波形仿真。VHDL代码如下:library ieee;use ieee.std_logic_1164.all;entity
5、 xiaodou is port(d_in,clk:in STD_LOGIC; clk_out: out STd_LOGIC);end xiaodou;architecture a of xiaodou is signal w,x: STD_LOGic ;component lpm_D isport(clock:in std_logic; data:in std_logic; Q:out std_logic);end component;begindff1:lpm_D port map(clk,d_in,w);dff2:lpm_D port map(clk,w,x);clk_outnew,选择
6、Vector Waveform File,新建一个波形仿真文件,然后在空白处点击右键,选择“Insert Node or Bus”,出现一个对话框,进行添加节点,然后输入时钟激励信号,并进行参数设置,两个重要的参数:End time结束时间和Grid size网格大小。点击Edit-Value-Clock,出现一个对话框设置时钟激励周期,相位以及其他参数,(注意d_in的时钟设置)点击OK,显示波形图如下:接着保存波形文件,然后进行仿真。在仿真之前要先生成功能仿真表,首先要先设置仿真模式。然后点击菜单项Processing-Generate Function Simulation Netlis
- 配套讲稿:
如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。
- 特殊限制:
部分文档作品中含有的国旗、国徽等图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。
- 关 键 词:
- EDA 实验 电路 顶层 设计 移位寄存器 功能 仿真
链接地址:https://www.31doc.com/p-9585845.html